电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑> 新思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

新思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

思科技Custom Design Platform获批三星7LPP工艺技术认证

· 新思科技Custom Design Platform为三星7LPP工艺技术提供经认证的工具、PDK、仿真模型、运行集(runsets)以及定制参考流程。 · 新思科技Custom
2018-07-18 11:46:357228

思科技与ADI公司达成合作,共同加速电源系统设计

达成合作,通过采用新思科技业界领先的仿真工具Saber为DC/DC IC和µModule®(微型模块)稳压器提供模型库。Saber作为新思科技虚拟原型解决方案的一部分,其系统级仿真软件中的新模型库能够助力动力总成开发者在电动汽车、仪器设备和超级计算机等产品上快速准确地进行多域仿真,加速设计进
2022-05-24 10:47:431483

电0.18工艺电源电压分别是多少?

电0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

电或将“独吞”A7大单

有机会“独吞”A7代工订单。  电作为全球规模最大的专业集成电路制造公司,其技术优势的领先,在业界可谓屈指可数。电积极开发20纳米制程,花旗环球证券指出,在技术领先MAX3232EUE+T优势下,未来1
2012-09-27 16:48:11

模拟设计中MOS的栅长怎么选择?

采用0.13um的混合工艺 模拟设计中用到的MOS的栅长选择就是0.13um吗? / C5 @, o6 U8 I% q! X而如果采用0.18um的混合工艺 模拟设计中用到的MOS的栅长选择就是0.18um吗?
2012-01-12 16:33:54

模拟设计中噪声分析常见的误区

本文阐述关于模拟设计中噪声分析的11个由来已久的误区。
2021-03-09 08:27:51

模拟设计的原则有哪些

模拟设计的100条圣经
2021-02-25 07:24:42

HarmonyOS分布式——设备迁移

HarmonyOS分布式——设备迁移
2021-06-26 14:34:39

HarmonyOS应用开发-DiseributedVideoCodelab设备视频迁移

说明:此Demo用于设备迁移视频内容。您可以方便地跨设备迁移视频内容,然后在源设备远程控制、操作远端设备视频内容。效果图:完整代码地址:https://gitee.com/jltfcloudcn/jump_to/tree/feature/DistributedVideoCodelab
2022-07-05 10:24:59

SMT贴装基本工艺流程

图:如图3所示。应注意的是,所有准备工作都应依照产品程序中的定 义来开展。  ③贴片机生产基本工艺流程:图4提供了贴片生产的基本工艺流程,在实际生产环境中的工艺流程(或 说贴片设备的动作流程)比这要复杂
2018-08-31 14:55:23

【AD新闻】竞争激烈!电中芯抢高通芯片订单

据外媒报道,预计电将获得高通新一代电源管理芯片(PWM IC)70%至80%的订单。高通前一代电源管理芯片是由中芯国际(SMIC)生产的,后者在其8英寸晶圆厂使用0.18至0.153微米工艺来生
2017-09-27 09:13:24

【下载】《嵌入式系统中的模拟设计》

模拟/数字混合系统设计的数字工程师、学生、教师及应用工程人员。本书是一本有用的参考资料,既可以帮助读者完成数字系统中的模拟设计,也可以作为掌握许多模拟电子方面重要内容的指导手册。内容简介  本书为那些
2017-12-14 17:49:51

【招聘】射频/模拟、ASIC设计/验证、系统、模拟设计等

【招聘】射频/模拟、ASIC设计/验证、系统、模拟设计等 射频集成电路工程师(TRX 方向)-BJ 射频/模拟集成电路工程师(RF/Analog IC Engineer)-BJ 射频IC工程师
2017-03-03 14:54:37

【灵动微电子招聘】集成电路模拟设计经理 上海

流程公司在项目初期就与客户充分接触,为客户提供系统整体解决方案,从产品功能定义、市场竞争力分析、工艺选择到代工厂选取以及知识产权模块的授权都深入参与,为客户提供精准可靠的分析数据和全面的方案报告,帮助
2016-01-07 11:03:36

【直播预告】聚焦模拟|先集成(Linearin)线上直播

| 产品解读7月26日(下周二)15:00,电子发烧友联合先集成将举办一场线上技术交流会,先集成资深大咖将针对公司产品路线布局及产品特点做详细解读,致力于提供更专业的模拟芯片解决方案。特邀嘉宾资深
2022-07-25 18:22:32

为何说模拟设计领域对自动化设计工具的企盼更加迫切?

消费类产品中日益增长的模拟器件数量、当今的设计规模以及先进工艺节点所面临的愈加复杂的制造约束,使得模拟设计领域对自动化设计工具的企盼更加迫切。 自动化设计工具的目标是使模拟版图设计人员能够简单自动
2019-07-08 06:00:51

关于黑孔化工艺流程工艺说明,看完你就懂了

关于黑孔化工艺流程工艺说明,看完你就懂了
2021-04-23 06:42:18

各类常用工艺电,中芯国际,华润上华

各类常用工艺电,中芯国际,华润上华
2015-12-17 19:52:34

思科助力三星SDS公司落实开源生命周期战略

,负责管理所有下属公司、市场和行业的各个开发阶段的项目,这是一项艰巨任务。为了应对这一挑战,三星SDS将项目管理系统、流程、工具和解决方案有效组合在一起,为创建高质量、可信软件提供支持。部署开源软件
2023-03-02 14:20:49

思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

Kochpatcharin表示:“公司与新思科技等开放创新平台(OIP)合作伙伴紧密合作,助力我们的客户在执行定制及模拟模块的工艺制程设计迁移时,提高生产效率并加快设计收敛。现在,通过全新的新思科技AI驱动型模拟设
2023-04-03 16:03:26

日进3.3亿,年狂挣千亿的电,为何还涨价?

主导地位,因此可以相对确定地控制价格。目前台电订单已排到2022年年底,订单数量过多也在无形中影响了代工价格。电涨价20%,意味着什么?意味着小公司无力支付芯片代工费用。受晶圆代工涨价影响,如今
2021-09-02 09:44:44

晶体管管芯的工艺流程

晶体管管芯的工艺流程?光刻的工艺流程?pcb制版工艺流程?薄膜制备工艺流程?求大佬解答
2019-05-26 21:16:27

样板贴片的工艺流程是什么

样板贴片的工艺流程是什么
2021-04-26 06:43:58

求助,如何理解阻放大器的增益带宽

一个阻放大器LTC6268的增益带宽积为500毫赫兹。 详细参数表内写明GBW=500毫赫兹实在条件f=10MHz下得到。 这一参数明显与通用运算放大器的增益带宽不同。 例如一个
2023-11-17 06:38:58

混合信号SoC助力模拟IP发展

的IDM们,也正在采取“轻晶圆厂”的模式。快速转向SoC的压力使得这些公司更乐于接受采用外部模拟IP的做法,以此满足紧迫的时间期限。不过,这些公司仍在继续寻求纯粹内部开发的模拟设计,针对自己的工艺
2019-05-13 07:00:04

芯片生产工艺流程是怎样的?

芯片生产工艺流程是怎样的?
2021-06-08 06:49:47

拟设备,模拟实体设备和云端通信

设备联网步骤和接入流程。二、虚拟设备的特点?主要有以下特点:1、可模拟要开发或正在开发的智能硬件2、通过虚拟设模拟真实设备上报数据的行为,可以快速验证接口功能的开发。3、用您开发的app或 下载
2017-02-16 16:55:04

拟设备:验证接口功能,助力开发调试

实现产品与云端通讯呢?如果你不想折腾硬件产品,就想知道设备和机智云互联的原理,同样OK。机智云新推出的虚拟设备,可以模拟真实设备上报数据的行为,可以快速验证接口功能的开发。使用指南如下:step1:在
2017-02-23 16:43:25

工艺制程,Intel VS电谁会赢?

的必经前提步骤,而先进的制成工艺可以更好的提高中央处理器的性能,并降低处理器的功耗,另外还可以节省处理器的生产成本。  “芯片门”让电备受瞩目  2015年12月份由电举办的第十五届供应链管理论
2016-01-25 09:38:11

请问DevEco Studio支持打开两个远程模拟器,演示设备迁移吗?

DevEco Studio支持打开两个远程模拟器,演示设备迁移吗?
2022-06-07 10:02:55

通过HarmonyOS分布式能力实现任务的设备迁移设计资料分享

办公。本篇 Codelab 文档,我们通过模拟不同设备间协同的邮件编辑来做一个简单的演示,如下图,我们可以通过迁移按钮完成任务的设备迁移,并通过附件按钮调用设备的图片。
2022-03-25 16:59:38

高Q层电感助力高频应用不受干扰

陶瓷(LTCC)多层基材的工艺技术已达到该要求。  现在,新设计的芯片内部电极的制造工艺对位置控制更为精确,进而生产出高Q值的0402和0603系列中的层电感MLG0402Q和MLG0603P系列。
2019-05-30 06:00:38

芯片皇帝电,利润超过苹果公司电高科技的背后,不只依赖光刻机

苹果公司行业芯事经验分享
中国芯动向发布于 2022-06-07 15:56:41

中芯国际和新思科技携手推出参考设计流程4.0

中芯国际和新思科技携手推出参考设计流程4.0 全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科公司与中国内地最大的芯片代工企业中芯国际集成电
2009-06-29 07:43:54369

Exar选择微捷码Titan ADX来加速模拟设

Exar选择微捷码Titan ADX来加速模拟设计 芯片设计解决方案供应商微捷码(Magma(r))设计自动化有限公司(纳斯达克代码:LAVA)日前宣布,Exar公司
2009-12-10 09:48:02718

微捷码提供免费试用版Titan模拟/混合信号设计平台

微捷码(Magma)设计自动化有限公司日前宣布,提供免费试用版Titan混合信号平台和模拟设加速器的下载。这是“Titan Up!”计划下一阶段的内容,旨在为模拟设计师提供模拟和混合信
2010-06-22 09:36:50936

英飞凌采用新思科流程开发新一代3G基带处理器

思科技完整实施流程助力英飞凌在中国成功实现首款40纳米3G基带处理器芯片设计和一次流片成功 中国北京和西安,2010年8月9日—全
2010-08-11 14:39:39510

富士通半导体采用Titan大幅提高模拟设计生产率

微捷码(Magma)设计自动化有限公司日前宣布,Titan模拟设加速器(Titan ADX)已为富士通半导体有限公司(Fujitsu Semiconductor)所采用
2011-11-23 09:11:43680

嵌入式系统中的模拟设计_英版

嵌入式系统中的模拟设计 英文版,好东西,喜欢的朋友可以下载来学习。
2016-01-18 14:55:520

国内搞模拟设计可能缺乏的是传承

国内搞模拟设计可能缺乏的是传承,感兴趣的小伙伴们可以瞧一瞧。
2016-09-18 17:15:050

精密模拟设计中的噪声分析

精密模拟设计中的噪声分析
2017-01-14 15:09:1617

放大器和转换器模拟设计技巧

放大器和转换器模拟设计技巧
2017-09-15 17:01:4429

TI各种模拟设计工具介绍

TI各种模拟设计工具介绍
2017-10-16 12:56:457

Cadence教程之如何使用VieloSo模拟设计环境进行设计

本手册描述如何使用VieloSo模拟设计环境来模拟模拟设计。VieloSo模拟设计环境被记录在一系列在线手册中。下面的文件给你更多的信息。 FieloSo高级分析工具用户指南提供有关蒙特卡洛、优化和统计分析的信息。
2018-09-20 08:00:000

思科技携手IBM,通过DTCO创新加速后FinFET工艺开发

采用新思科技Sentaurus、Process Explorer、StarRC、SiliconSmart、PrimeTime和IC Compiler II,DTCO方法学降低了先进半导体工艺开发的成本,并加快了上市速度。
2018-09-21 11:53:527913

思科助力三星5nm/4nm/3nm工艺加速

近日,全球知名的EDA工具厂商新思科技(Synopsys)宣布,面向三星7LPP(7nm Low Power Plus)和更先进工艺的良率学习平台设计取得了重大突破,这将为三星后续5nm、4nm、3nm工艺的量产和良品率的提升奠定坚实基础。
2019-07-09 17:13:484225

思科助力,三星5nm、4nm、3nm工艺加速

全球知名的EDA工具厂商新思科技(Synopsys)宣布,面向三星7LPP(7nm Low Power Plus)和更先进工艺的良率学习平台设计取得了重大突破
2019-07-11 14:49:473325

富士通半导体采用Titan大幅提高模拟设计的生产率

微捷码(Magma)设计自动化有限公司日前宣布,Titan模拟设加速器(TitanADX)已为富士通半导体有限公司(FujitsuSemiconductor)所采用。
2019-12-20 15:18:491261

PSoC Creator模拟设计:如何解决模拟电压问题

本视频介绍了采用PSoC Creator进行模拟设计的各种技巧和注意事项。
2020-07-01 12:16:001888

PSoC Creator模拟设计:Analog Device Viewer

本视频介绍了采用PSoC Creator进行模拟设计的各种技巧和注意事项。
2020-07-01 12:41:002668

PSoC Creator模拟设计:引脚放置的模拟及注意事项

本视频介绍了采用PSoC Creator进行模拟设计的各种技巧和注意事项。
2020-07-01 12:04:003262

思科技与TSMC合作为封装解决方案提供经认证的设计流程

,可实现可靠的签核和设计实时分析 新思科技(Synopsys)近日宣布与TSMC合作,为先进封装解决方案提供经认证的设计流程。这些解决方案使用新思科技3DIC Compiler产品,进行CoWoS-S
2020-10-14 11:11:212099

思科技与三星开展合作,充分释放三星工艺优势

思科技与三星基于Fusion Design Platform开展合作,充分释放三星在最先进节点工艺的优势 经过认证的流程为开发者提供了一整套针对时序和提取的业界领先数字实现和签核解决方案 新思科
2021-01-13 16:01:181903

紫光国芯:先进工艺下的全流程芯片设计服务

的“芯云智联 擎领未来 云上芯片设计技术沙龙”在西安成功举办。 紫光国芯设计服务部总监王成伟在会上分享了《先进工艺下的全流程芯片设计服务》。王成伟介绍,先进工艺SoC芯片研发面临着研发难度高、验证和测试覆盖率要求高、物理验证规则
2021-04-29 09:44:113264

GF已在其22FDX工艺中认证两项新思科技参考流程

双方共同推出的工艺设计套件和经认证参考流程加速高性能汽车、边缘人工智能和5G SoC的开发 全球半导体制造领先企业GlobalFoundries(GF)联合新思科技(Synopsys)近日宣布
2021-11-17 14:38:411609

思科技全新解决方案助力加速IC设计流程

解决方案能够实现全面的数据可视化和AI自动优化设计,助力提高先进节点的芯片设计生产力。该解决方案将为所有开发者提供实时、统一、360度视图,以加快决策过程,通过更深入地了解运行、设计、项目之间的趋势来加强芯片的开发协作。
2022-06-02 16:09:442433

Cadence数字和定制 / 模拟设流程获得N4P工艺认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,其数字和定制 / 模拟设流程已获得 TSMC N3E 和 N4P 工艺认证,支持最新的设计规则手册(DRM)。
2022-06-17 17:33:054800

思科技推出面向台积公司N6RF工艺全新射频设计流程

思科技(Synopsys)近日推出面向台积公司N6RF工艺的全新射频设计流程,以满足日益复杂的射频集成电路设计需求。
2022-06-24 14:30:13868

是德科技与新思科技共同合作,支持台积电N6RF设计参考流程

来源:是德科技 PathWave RFPro 与新思科技定制化编译器相辅相成,可提供无线晶片设计工作流程所需的整合式电磁模拟工具 是德科技(Keysight Technologies Inc.
2022-06-27 14:41:38667

思科技获得台积公司的N3E和N4P工艺认证

思科技数字和定制设计流程获得台积公司的N3E和N4P工艺认证,并已推出面向该工艺的广泛IP核组合。
2022-07-12 11:10:51877

Cadence数字和定制/模拟设流程获得台积电最新N4P和N3E工艺认证

中国上海,2022 年 10 月 27 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,Cadence 数字和定制/模拟设流程已获得台积电最新 N4P 和 N3E
2022-10-27 11:01:37940

思科技EDA和IP完整解决方案获台积公司N3E工艺认证,加速HPC、AI、和移动领域设计

,纳斯达克股票代码:SNPS )近日宣布,得益于与台积公司的长期合作,新思科技针对台积公司N3E工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台积公司N3E工艺上获得认证。此外,该流程和新思科技广泛的
2022-11-08 13:37:191358

新思携手台积公司推动半导体创新,以N3E工艺加速前沿应用芯片设计

工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台积公司N3E工艺上获得认证。此外,该流程和新思科技广泛的基础IP、接口IP组合已经在台积公司N3E工艺上实现了多项成功流片,助力
2022-11-10 11:15:22502

思科技、Ansys和是德科技推出面向台积公司16FFC工艺的全新毫米波参考流程,持续加速5G/6G SoC开发效率

为满足5G/6G SoC对性能和功耗的严苛需求,新思科技(Synopsys,Inc.,纳斯达克股票代码:SNPS)、Ansys和是德科技近日宣布,推出针对台积公司16纳米精简型工艺技术(16FFC
2022-11-16 16:24:19570

思科技面向台积公司先进技术推出多裸晶芯片设计解决方案,共同推动系统级创新

工艺技术的2D/2.5D/3D多裸晶芯片系统。基于与台积公司在3DFabric™技术和3Dblox™标准中的合作,新思科提供了一系列全面的、系统级的、经过产品验证的解决方案,助力共同客户能够满足复杂的多裸晶芯片系统对于功耗和性能的严苛要求。
2022-11-16 16:25:43877

Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Virtuoso Design Platform 的节点到节点设计迁移流程,能兼容所有的台积电先进节点
2023-05-06 15:02:15801

Cadence数字和定制/模拟设流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

思科技利用优化的EDA流程快速啟动台积电N2 製程设计

为了不断满足新一代系统单晶片(SoC) 的严格设计目标,新思科技在台积电最先进的 N2 製程中提供数位与客製化设计 EDA 流程。相较於N3E 製程,台积公司N2 製程採用奈米片(nanosheet
2023-05-11 19:02:351996

顶级组合!新思科技联合三方推出全新射频设计流程,引领自动驾驶新革命

针对台积公司16FFC的79GHz毫米波射频设计流程加速自动驾驶系统中射频集成电路的开发。 新思科技、Ansys和是德科技近日宣布,推出针对台积公司16纳米精简型工艺技术(16FFC)的全新
2023-05-17 05:45:01232

思科技、台积公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

股票代码:SNPS)近日宣布,携手台积公司和Ansys持续加强多裸晶芯片系统设计与制造方面的合作,助力加速异构芯片集成以实现下一阶段的系统可扩展性和功能。得益于与台积公司在3DFabric™技术和3Dblox™标准中的合作,新思科技能够为台积公司先进的7纳米、5纳米和3纳米工艺
2023-05-17 15:43:06229

思科技、台积公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

在3DFabric™技术和3Dblox™标准中的合作,新思科技能够为台积公司先进的7纳米、5纳米和3纳米工艺技术上的多裸晶芯片系统设计,提供业界领先的全方位EDA和IP解决方案。台积公司先进工艺技术集成
2023-05-18 16:04:08790

认识Cisco Packet Tracer思科模拟

Packet Tracer 是由Cisco公司发布的一个辅助学习工具,为学习思科网络课程的初学者去设计、配置、排除网络故障提供了网络模拟环境。
2023-06-07 10:03:112226

思科技与三星扩大IP合作,加速新兴领域先进SoC设计

面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112G以太网
2023-06-30 13:40:14341

Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
2023-07-04 10:10:01471

Cadence 数字和定制/模拟设流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

已经过 SF2 和 SF3 流程认证 ●  Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ● Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio
2023-07-05 10:10:01322

Cadence 数字、定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

的 Cadence 流程,以十足把握交付各类 HPC 及消费电子应用 中国上海,2023 年 7 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字和定制/模拟
2023-07-14 12:50:02381

两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科技车规级IP集成到三星的工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性 三星工艺中集成了广泛的IP组合,并在新思科技经过认证的数字和定制设计流程的加持下,共同加速流片成功
2023-07-26 17:40:03255

全面支持Intel 16!新思科技EDA流程及IP获认证,携手推动成熟应用领域创新

技的解决方案可在英特尔代工服务提供的制程工艺上实现安全且先进的微电子技术开发 新思科技(Synopsys)近日宣布,其搭载了Synopsys.ai全栈式AI驱动型EDA解决方案的数字和定制设计流程已经通过英特尔代工服务(IFS)的Intel 16制程工艺认证,以助力简化功耗和空间受限型应用
2023-08-07 18:45:03334

思科技IP成功在台积公司3nm工艺实现流片

基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
2023-08-24 17:37:47657

思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片成功。 新思科技3DIC
2023-09-14 09:38:28839

Cadence 定制/模拟设迁移流程加速 TSMC 先进制程技术的采用

流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程由 Cadence 和 TSMC 共同开发,旨在实现定制和模拟 IC 设计在 TSMC
2023-09-27 10:10:04301

思科技设备在台积电流片2nm芯片

N2纳米片工艺的数字设计流程正在实现多次流片,而模拟设流程已在多个设计启动中采用。预计将于2024年提供样品。 这是在领先工艺上同时提供模拟设流程和库与数字设计流程和库的重大举措,特别是从FINFET晶体管转向纳米片、全栅(GAA)器件之际。由此需要新的设计和
2023-10-08 16:49:24285

Cadence 数字和定制/模拟设流程获 TSMC 最新 N2 工艺认证

的生产力 基于 AI 驱动的 Virtuoso Studio 的定制/模拟流程支持电路优化,功能经过增强,可将设计迁移吞吐量提升 3 倍 双方的共同客户正在积极使用 N2 PDK 来开发 AI、大规模计算
2023-10-10 16:05:04270

思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设流程

。 Synopsys.ai™ EDA解决方案中的模拟设迁移流程可实现台积公司工艺节点的快速设计迁移。 新思科技接口IP和基础IP的广泛产品组合正在开发中,将助力缩短设计周期并降低集成风险。   加利福尼亚州桑尼维尔, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:22104

思科技携手台积公司加速N2工艺下的SoC创新

思科技近日宣布,其数字和定制/模拟设流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科技这两类芯片设计流程的发展势头强劲,其中数字设计流程已实现
2023-10-24 16:42:06475

思科技携手是德科技、Ansys面向台积公司4 纳米射频FinFET工艺推出全新参考流程助力加速射频芯片设计

摘要: 全新参考流程针对台积公司 N4PRF 工艺打造,提供开放、高效的射频设计解决方案。 业界领先的电磁仿真工具将提升WiFi-7系统的性能和功耗效率。 集成的设计流程提升了开发者的生产率,提高了
2023-10-30 16:13:05106

思科技可互操作工艺设计套件助力开发者快速上手模拟设

计 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案 新思科技(Synopsys)近日宣布,其模拟设迁移流程已应用于台积公司N4P、N3E 和 N2 在内的多项先进工艺。作为新思科技定制设计系列产品
2023-11-09 10:59:40436

思科技携手合作伙伴开发针对台积公司N4P工艺的射频设计参考流程

(RF)设计和接口IP五项大奖。新思科技与台积公司长期稳固合作,持续提供经过验证的解决方案,包括由Synopsys.ai全栈式AI驱动型EDA解决方案支持的认证设计流程,帮助共同客户加快创新型人工智能
2023-11-14 10:31:46376

思科技于2023台积公司OIP生态系统论坛上荣获多项年度合作伙伴大奖

多个奖项高度认可新思科技在推动先进工艺硅片成功和技术创新领导方面所做出的卓越贡献 摘要 : 新思科技全新数字与模拟设流程认证针对台积公司N2和N3P工艺提供经验证的功耗、性能和面积(PPA)结果
2023-11-14 14:18:45120

模拟设计中噪声分析的11个误区

电子发烧友网站提供模拟设计中噪声分析的11个误区.pdf》资料免费下载
2023-11-28 10:25:190

思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

 芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
2024-03-05 10:16:5984

思科技携手英特尔加速Intel 18A工艺下高性能芯片设计

思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
2024-03-05 17:23:44238

思科技与英特尔深化合作加速先进芯片设计

近日,新思科技与英特尔宣布深化合作,共同加速先进芯片设计的步伐。据悉,新思科技的人工智能驱动的数字和模拟设流程已经成功通过英特尔代工的Intel 18A工艺认证,这一突破性的进展标志着双方在芯片设计领域的合作迈上了新台阶。
2024-03-06 10:33:59180

已全部加载完成