0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技数字定制设计平台已获台积公司N3制程技术认证

新思科技 来源:新思科技 作者:新思科技 2021-11-16 11:06 次阅读

新思科技平台提供强化功能,以支持台积公司N3和N4制程的新要求

新思科技Fusion设计平台能够提供更快的时序收敛,并确保从综合到时序和物理签核的全流程相关性 ,可显著提高生产力

新思科技(Synopsys)近日宣布其数字定制设计平台已获台积公司N3制程技术认证,双方将共同优化下一代芯片的功耗、性能和面积(PPA)。基于多年的密切合作,本次经严格验证的认证是基于台积公司最新版本的设计规则手册(DRM)和制程设计套件(PDK)。此外,新思科技的数字和定制设计平台还通过了台积公司N4制程的认证。

“多年的密切合作使得新思科技的设计平台解决方案在台积公司最先进工艺上获得了认证。在下一代HPC、移动、5GAI等应用领域,我们将共同协助客户实现PPA优化,帮助客户快速将创新产品推向市场。”

——Suk Lee

台积公司设计基础设施

管理事业部副总经理

该数字设计流程以新思科技Fusion设计平台为基础,采用最新的先进技术确保更快的时序收敛,并实现了从综合到布局布线、再到时序及物理签核的全流程相关性。该平台得到强化后,将提供性能更佳的综合和全局布局器引擎,从而优化库单元选择和布局结果。为支持台积公司的超低电压设计收敛,新思科技对优化引擎进行了改进,以便使用全新的内存优化算法。这些新技术是两家公司战略合作的成果,将为采用台积公司N3制程的设计带来PPA的大幅提升。

Custom Compiler设计和版图解决方案是新思科技Custom Design Platform的一部分,能够为使用台积公司先进制程技术的开发者提供更高的生产力。Custom Compiler的诸多强化功能可减少为了满足N3技术要求而投入的工作量,已获得诸多早期采用N3制程的用户的验证,这其中也包括新思科技DesignWare IP团队。新思科技PrimeSim Continuum解决方案中的PrimeSim HSPICE、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可缩短基于台积公司N3制程的设计迭代时间,并为电路仿真和可靠性要求提供签核。

“通过与台积公司的持续合作,我们为采用台积公司先进的N3制程技术的设计提供了高度定制化的解决方案,让客户对成功设计出复杂的SoC更有信心。基于这些技术创新,开发者可以充分利用在PPA方面的重大提升,实现下一代HPC、移动、5G和AI芯片设计。”

——Shankar Krishnamoorthy

新思科技数字设计事业部总经理

新思科技设计平台中的以下关键产品已经强化,可满足制程技术要求:

数字设计解决方案

Fusion Compiler RTL-to-GDSII解决方案

Design Compiler NXT综合解决方案

IC Compiler II布局布线解决方案

签核

PrimeTime时序签核解决方案

PrimePower功耗分析

StarRC寄生参数提取签核

IC Validator物理验证解决方案

Tweaker ECO收敛解决方案

NanoTime定制电路时序签核

ESP-CV定制电路功能验证

QuickCap NX寄生参数提取3D现场求解器

SPICE仿真和定制设计

PrimeSim HSPICE、PrimeSim SPICE和PrimeSim Pro仿真解决

方案

PrimeSim XA可靠性分析

Custom Compiler定制电路设计

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IC
    IC
    +关注

    关注

    35

    文章

    5545

    浏览量

    173232
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50068

原文标题:新思科技数字和定制设计平台获得台积公司N3制程认证

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    思科技与英特尔在UCIe互操作性测试进展

    英特尔的测试芯片Pike Creek由基于Intel 3技术制造的英特尔UCIe IP小芯片组成。它与采用台积电公司N3工艺制造的新思科技UCIe IP测试芯片形成组合。
    的头像 发表于 04-18 14:22 170次阅读

    半导体发展的四个时代

    代工厂来开发和交付。电是这一阶段的关键先驱。 半导体的第四个时代——开放式创新平台 仔细观察,我们即将回到原点。随着半导体行业的不断成熟,工艺复杂性和设计复杂性开始呈爆炸式增长。工艺技术
    发表于 03-27 16:17

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    发表于 03-05 10:16 112次阅读

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过认证。这一里程碑式的成就意味着Cadence的设计IP将全面支持Intel的代工厂在这一关键节点上的
    的头像 发表于 02-27 14:02 213次阅读

    思科技携手台积公司加速N2工艺下的SoC创新

    思科技近日宣布,其数字定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺
    的头像 发表于 10-24 16:42 511次阅读

    思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

    思科技接口和基础 IP 组合已获多家全球领先企业采用,可为 ADAS 系统级芯片提供高可靠性保障 摘要: 面向台积公司N5A工艺的新思科技IP产品在汽车温度等级2级下符合 AEC-Q
    发表于 10-23 15:54 1055次阅读

    思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字和模拟设计流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字和模拟设计流程可提高高性能计算、移动和AI芯片的产品
    发表于 10-19 11:44 129次阅读

    Cadence 数字定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    内容提要 Cadence 数字全流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器 Cadence Cerebrus 由 AI 驱动,支持 N2 制程,可大幅提高客户
    的头像 发表于 10-10 16:05 303次阅读

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片成功。 新思科技3DIC
    的头像 发表于 09-14 09:38 886次阅读

    全面支持Intel 16!新思科技EDA流程及IP获认证,携手推动成熟应用领域创新

    技的解决方案可在英特尔代工服务提供的制程工艺上实现安全且先进的微电子技术开发 新思科技(Synopsys)近日宣布,其搭载了Synopsys.ai全栈式AI驱动型EDA解决方案的数字
    的头像 发表于 08-07 18:45 366次阅读

    Cadence 数字定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    的 Cadence 流程,以十足把握交付各类 HPC 及消费电子应用 中国上海,2023 年 7 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字定制/模拟
    的头像 发表于 07-14 12:50 414次阅读
    Cadence <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/模拟设计流程通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET <b class='flag-5'>制程</b>

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ●Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio,已针对
    的头像 发表于 07-05 10:12 417次阅读

    Cadence 数字定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ● Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio
    的头像 发表于 07-05 10:10 355次阅读

    0元定制数字人!商汤如影全平台上线,开启百“变”大咖共建计划

    让每个人都能够低门槛拥有自己专属数字人分身! 今天,业内首个AIGC全栈视频生成平台, “商汤如影SenseAvatar” 数字人视频生成平台 ,在经历了2个月的公测之后, 正式上线,
    的头像 发表于 06-30 17:45 744次阅读
    0元<b class='flag-5'>定制</b><b class='flag-5'>数字</b>人!商汤如影全<b class='flag-5'>平台</b>上线,开启百“变”大咖共建计划

    Cadence数字定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证
    的头像 发表于 05-09 10:09 749次阅读