0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

Cadence楷登 来源:未知 2023-10-10 16:05 次阅读

内容提要

Cadence 数字全流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器

Cadence Cerebrus 由 AI 驱动,支持 N2 制程,可大幅提高客户的生产力

基于 AI 驱动的 Virtuoso Studio 的定制/模拟流程支持电路优化,功能经过增强,可将设计迁移吞吐量提升 3 倍

双方的共同客户正在积极使用 N2 PDK 来开发 AI、大规模计算和移动 IC

中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司NASDAQ:CDNS)近日宣布其数字和定制/模拟流程已通过台积电(TSMC)最新的 N2 设计规则手册(DRM)认证通过此次最新合作,两家公司将交付新的 N2 制程设计套件(PDK),让客户可以轻松便捷地使用二者的最新技术,包括有助于提高设计生产力的 CadenceAI 技术。双方的共同客户已经在使用 N2 PDK 设计创新的 AI、大规模计算和移动应用,以实现设计目标,简化模拟流程迁移并加快产品上市。

Cadence 数字全流程

经过认证的完整 Cadence 数字全流程包括Innovus Implementation System、Quantus Extraction Solution 和 Quantus Field Solver、TempusTiming Solution 以及 ECO Option、PegasusVerification System、LiberateCharacterization Portfolio 和 VoltusIC Power Integrity Solution。

有关数字全流程的更多信息,请访问

www.cadence.com/go/cdnsdigitalff

(您可将网址复制至浏览器打开)

该数字全流程支持所有最新的 TSMC N2 PDK 要求,为客户提供了多项关键的新功能。此外,Quantus Field Solver 可对大量的几何图形以及 N2 节点的寄生效应进行建模,为 SRAM、存储器、高性能灵敏设计提供高度精确的模型。Innovus Implementation System 引擎的性能再度提升,使用可预测可收敛的流程,优化利用率,实现 N2 设计目标。用于物理签核的 Pegasus Verification System 与 Cadence VirtuosoStudio 紧密集成,可有效提升设计生产力。最后,Voltus IC Power Integrity Solution 跨前端层的压降分析,让客户可以构建稳健的电源网络,以实现压降收敛。

Cadence CerebrusIntelligent Chip Explorer同样支持 N2 制程,帮助客户减少在手动设计流程上花费的时间,大幅提升生产力。

Cadence 定制/模拟流程

Cadence 定制/模拟流程通过了 TSMC N2 技术认证,以 Virtuoso Studio 为基础,后者包括 Virtuoso Schematic Editor、Virtuoso ADE Suite 及 Virtuoso Layout Suite。还包括 SpectreSimulation Platform,该平台包含的产品有 Spectre X Simulator 和 Spectre eXtensive Partitioning Simulator(XPS)。最新流程提供了一个完整的布线技术套件,涵盖所有定制/模拟拓扑结构。

有关 Cadence 定制/模拟流程

更多信息,请访问

www.cadence.com/go/cdnscustomanalog

(您可将网址复制至浏览器打开)

新版 Virtuoso ADE 架构经过升级,允许用户在现代化计算集群以及公有云和私有云上运行上万次仿真测试。同时还可以减少 Virtuoso 的内存占用。此外,还增加了经过增强的验证方法,以确保设计稳健可靠。Spectre FMC Analysis 统计技术可快速查找可能导致设计失效的尾部样品。同时,用户还可以使用新的优化算法,快速根据新的规格公差调整迁移后的设计。 Virtuoso Layout Suite 经过升级,可在 TSMC N2 制程上提供有效的 layout 实现,提升各项功能的性能,如核心编辑命令、连接关系提取、layout 浏览及导出到抽象生成;通过轨道模式助手增强了模拟单元的接合;增加了一种独特的、基于非均一网格的结构化器件摆放方法,以交互的形式辅助用户摆放器件、布线、进行填充和插入;器件级自动布线功能,用于管理先进节点复杂性;依据 DRM 自动生成保护环;集成寄生参数提取和 EM-IR 检查;增强了定制设计迁移和功能复用;布局布线引擎与 Innovus Implementation System 无缝集成,以提升结果质量(QoR)。

“得益于与 Cadence 的长期合作,客户能够使用我们最新的 N2 制程技术和经过增强的 Cadence 数字及定制/模拟流程,打造新一代 AI、超大规模计算和移动 IC,”TSMC 设计基础设施管理事业部负责人 Dan Kochpatcharin说道,“Cadence 和 TSMC 与客户并肩作战,深入了解他们最迫切的设计需求,有针对性地精细调整我们的解决方案,更好地满足客户的要求,帮助他们更快将产品推向市场。”

“Cadence 持续专注于创新,通过此次与 TSMC 的最新合作,我们为经过认证的最新数字和定制/模拟流程增添了更多新功能,助力客户成功开发 N2 设计,”Cadence 公司资深副总裁兼数字与签核事业部总经理 Chin-Chi Teng 博士表示,“此外,我们的 AI 驱动解决方案 Cadence Cerebrus 和 Virtuoso Studio 为客户提供了创新的自动化功能,可显著提高设计效率。期待看到双方的共同客户实现设计目标,更快向市场推出高质量的设计成果。”

Cadence 数字和定制/模拟设计流程支持 Cadence 的智能系统设计(Intelligent System Design) 战略,旨在助力客户实现系统级芯片(SoC)的卓越设计。

要了解更多关于 Cadence先进节点

解决方案信息,请访问

www.cadence.com/go/advndn2pr

(您可将网址复制至浏览器打开)

关于 Cadence

Cadence 是电子系统设计领域的关键领导者,拥有超过 30 年的计算软件专业积累。基于公司的智能系统设计战略,Cadence 致力于提供软件、硬件和 IP 产品,助力电子设计概念成为现实。Cadence 的客户遍布全球,皆为最具创新能力的企业,他们向超大规模计算、5G 通讯、汽车、移动设备、航空、消费电子工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence 已连续九年名列美国财富杂志评选的 100 家最适合工作的公司。如需了解更多信息,请访问公司网站 www.cadence.com。

2023 Cadence Design Systems, Inc. 版权所有。在全球范围保留所有权利。Cadence、Cadence 徽标和 www.cadence.com/go/trademarks 中列出的其他 Cadence 标志均为 Cadence Design Systems, Inc. 的商标或注册商标。所有其他标识均为其各自所有者的资产。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140787

原文标题:Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过
    的头像 发表于 02-27 14:02 209次阅读

    新思科技可互操作工艺设计套件助力开发者快速上手模拟设

    计 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案 新思科技(Synopsys)近日宣布,其模拟设计迁移流程已应用于台积公司N4P、N3E 和
    的头像 发表于 11-09 10:59 472次阅读

    【深圳线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。 会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制/
    的头像 发表于 10-30 11:35 254次阅读
    【深圳线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    【西安线下】就在明天!定制/模拟设计研讨会专场 — 2023 Cadence 中国技术巡回研讨会

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享定制/
    的头像 发表于 10-25 10:40 224次阅读
    【西安线下】就在明天!<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场 — 2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会

    新思科技携手台积公司加速N2工艺下的SoC创新

    新思科技近日宣布,其数字定制/模拟设流程已通过台积公司N2工艺技术
    的头像 发表于 10-24 16:42 508次阅读

    新思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字模拟设流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字
    发表于 10-19 11:44 128次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程
    的头像 发表于 09-27 10:10 347次阅读

    火热报名中!2023 Cadence 中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-21 17:15 293次阅读
    火热报名中!2023 <b class='flag-5'>Cadence</b> 中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    开始报名!2023 Cadence中国技术巡回研讨会 — 定制/模拟设计研讨会专场(无锡、北京、西安、深圳)

    电子设计自动化领域领先的供应商 Cadence,诚邀您参加“ 2023 Cadence 中国技术巡回研讨会”。会议将集聚 Cadence 的开发者与资深技术专家,与您分享最新定制 /
    的头像 发表于 09-15 12:20 427次阅读
    开始报名!2023 <b class='flag-5'>Cadence</b>中国技术巡回研讨会 — <b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计研讨会专场(无锡、北京、西安、深圳)

    Cadence 数字定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence 流程,以十足把握交付各类 HPC 及消费电子应用 中国上海,2023 年 7 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字
    的头像 发表于 07-14 12:50 407次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 412次阅读

    Cadence 数字定制/模拟设流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 350次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 506次阅读

    Cadence数字定制/模拟设流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字定制/模拟设
    的头像 发表于 05-09 10:09 745次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程Cadence 和台积电共同开发,旨在实现定制
    的头像 发表于 05-06 15:02 852次阅读