电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>汽车电子>新思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

新思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

思科技28纳米DesignWare IP赢得第100项设计

思科公司(Synopsys, Inc., 纳斯达克股票市场代码:SNPS)日前宣布: 该公司针对多家领先的晶圆代工厂优化的28纳米工艺DesignWare IP已赢得第100项设计。
2012-09-20 10:11:401139

思科技Custom Design Platform获批三星7LPP工艺技术认证

· 新思科技Custom Design Platform为三星7LPP工艺技术提供经认证的工具、PDK、仿真模型、运行集(runsets)以及定制参考流程。 · 新思科技Custom
2018-07-18 11:46:357228

2020年半导体制造工艺技术前瞻

  晶体管制造工艺在近年来发展得不是非常顺利,行业巨头英特尔的主流产品长期停滞在14nm上,10nm工艺性能也迟迟得不到改善。电、三星等巨头虽然在积极推进7nm乃至5nm工艺,但是其频率和性能
2020-07-07 11:38:14

电0.18工艺电源电压分别是多少?

电0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

电或将“独吞”A7大单

` 观点:在技术领先的优势下,电获得苹果iPhone5芯片追加订单已成事实。然而,在iPhone 5推出后,苹果已朝下一世代A7处理器迈进,电凭借技术领先的优势,预估未来1-2年内
2012-09-27 16:48:11

GPS模块有哪些特征?

GPS模块有哪些特征?
2021-05-18 06:54:02

MCU缺货持续2年,上海航芯助力国产市场

、国家专精特新小巨人企业、上海市科技小巨人企业、上海市专利试点企业。公司拥有领先的核心架构、算法实现、安全防护和系统集成等芯片设计技术,自主研发的产品包括安全芯片、芯片、MCU芯片等通过了
2023-02-03 12:00:10

的器件选型

`各位今天聊聊的芯片选型。如果需要的芯片没有车级别的,但又工业级别的。从稳定性,可靠性方面考虑,应该要层元器件的那些特性为主要的考虑因素呢,是温度?`
2015-10-15 14:22:18

管,有通过AEC-Q101、TS16949、IATF16949希望对所有汽车电子设计有帮助,产品特点:1.领先全球薄型封装片式二管: 0402/0603/0805/1206/2010
2018-02-09 15:22:44

用MCU市场规模持续扩大,华秋电子携手上海航芯助力国产化

、国家专精特新小巨人企业、上海市科技小巨人企业、上海市专利试点企业。公司拥有领先的核心架构、算法实现、安全防护和系统集成等芯片设计技术,自主研发的产品包括安全芯片、芯片、MCU芯片等通过了
2023-02-03 13:49:45

EMC设计、工艺技术基本要点和问题处理流程

EMC设计、工艺技术基本要点和问题处理流程推荐给大家参考。。
2015-08-25 12:05:04

FOC汽车水泵方案,BAT32A237、CMSA6164中微芯片

高性能 高标准BAT32A237是一款高品质等级的32位通用MCU,芯片基于Arm Cortex®-M0+内核,工作频率48 MHz,配备128KB Flash,12KB SRAM和1.5KB
2022-10-11 14:35:41

GW2A系列FPGA()数据手册

GW2A 系列 FPGA 产品()数据手册主要包括高云半导体 GW2A系列 FPGA 产品()特性概述、产品资源信息、内部结构介绍、电气特性、编程接口时序以及器件订货信息,帮助用户快速了解高云半导体 GW2A系列 FPGA 产品()特性,有助于器件选型及使用。
2022-09-29 07:47:16

LT9211D龙迅显示桥接,MIPI转1/2PortLVDS

龙迅2023年Q4推出LT9211D_U2Q07CAN,通过AEC-Q100 二测试合格。本篇技术资料为R1.1更新版本,PDF添加TS/TJ和ESD数据。LT9211D为目前大陆市场
2024-03-11 22:26:05

PCA9685是的么?我想要一个的PWM输出信号芯片(引脚越多越好)有没有推荐

PCA9685是的么?我想要一个的PWM输出信号芯片(引脚越多越好)有没有推荐。TLC5940-EP怎么样
2021-05-24 09:43:54

Sic mesfet工艺技术研究与器件研究

Sic mesfet工艺技术研究与器件研究针对SiC 衬底缺陷密度相对较高的问题,研究了消除或减弱其影响的工艺技术并进行了器件研制。通过优化刻蚀条件获得了粗糙度为2?07 nm的刻蚀表面;牺牲氧化
2009-10-06 09:48:48

[下载]SMT技术之-无铅工艺技术应用及可靠性

;nbsp;  <br/>薛竞成----无铅工艺技术应用和可靠性 <br/>主办单位&
2009-07-27 09:02:35

[转]电借16nm FinFET Plus及InFO WLP 通吃英特尔苹果

苹果晶圆代工龙头电16纳米鳍式场效晶体管升级版(FinFET Plus)将在明年1月全产能量产,搭配整合型扇出晶圆尺寸封装(InFO WLP)的系统封装(SiP)技术,在x86及ARM架构64位
2014-05-07 15:30:16

i.MX RT1170产品有AEC-Q100认证吗?

大家好如题,i.MX RT1170产品有AEC-Q100认证吗?如果是,能否提供相关文件?
2023-03-15 08:24:23

【AD新闻】英特尔解读全球晶体管密度最高的制程工艺

降低产品价格并提升其性能 -- 是英特尔的核心竞争优势。英特尔一直以来都是并将继续成为推动摩尔定律向前发展的技术领导者,目前英特尔在制程工艺上保持着大约三年的领先性。英特尔公司执行副总裁兼制造、运营
2017-09-22 11:08:53

【RK3588】工业的主板现已全面上市

Firefly推出了专门为工业和汽车领域而打造的RK3588产品系列,除了之前已发布的核心板系列之外,目前同步推出了以下两款主板产品:8K AI工业主板:AIO-3588JQAI主板
2022-10-28 16:39:48

产品推荐 | Abracon 的共模扼流圈

过滤。这些扼流圈可以广泛应用于汽车、工业、IIoT以及网络和电信。 电源线共模扼流圈 信号线共模扼流圈 特性 Automotive Common Mode Chokes 01 高可靠性
2023-09-12 14:48:02

什么是芯片

`  谁来阐述一下什么是芯片?`
2019-10-18 10:55:55

什么是电容

`电容会分成很多种,电解电容、钽电容等等,那什么是电容?`
2019-09-30 14:46:18

兆易创新推出全国产化24nm工艺节点的GD5F4GM5系列

业界领先的半导体器件供应商兆易创新GigaDevice今日宣布,正式推出全国产化24nm工艺节点的4GbSPINANDFlash产品——GD5F4GM5系列。该系列产品实现了从设计研发、生产制造到
2020-11-26 06:29:11

兆易创新全系列车存储产品累计出货1亿颗

中国北京(2023年4月12日)—业界领先的半导体器件供应商兆易创新GigaDevice今日宣布,旗下车GD25/55 SPINOR Flash和GD5F SPI NAND Flash系列产品
2023-04-13 15:18:46

全球进入5nm时代

%,Lam Research为10亿美元,占电采购额的9%,迪恩士占5%,KLA占4%。ASML目前,全球仅有ASML一家公司掌握着EUV光刻机的核心技术,这也是5nm制程必需的设备,但EUV
2020-03-09 10:13:54

刚柔性PCB制造工艺技术的发展趋势

PCB的制造技术受到广泛关注。刚柔结合PCB的制造工艺:Rigid-Flex PCB,即RFC,是将刚性PCB与柔性PCB结合在一起的印刷电路板,它可以通过PTH形成层间传导。刚柔性PCB的简单制造
2019-08-20 16:25:23

半导体工艺技术的发展趋势

  业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-07-05 08:13:58

半导体工艺技术的发展趋势是什么?

业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-08-20 08:01:20

半导体发展的四个时代

和设计复杂性开始呈爆炸式增长。工艺技术、EDA、IP 和设计方法之间深奥而微妙的相互作用对于与分解的供应链进行协调变得非常具有挑战性。电也是这个时代的先驱。 电意识到分解生态系统的各个部分之间需要
2024-03-13 16:52:37

各类常用工艺电,中芯国际,华润上华

各类常用工艺电,中芯国际,华润上华
2015-12-17 19:52:34

提高多层板层压品质工艺技术总结,不看肯定后悔

如何提高多层板层压品质在工艺技术
2021-04-25 09:08:11

思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

拟设计迁移流程和公司的增强型工艺设计套件(PDKs),我们能够实现设计方案的复用,高效地在业界广泛采用的工艺技术上进行迁移,并受益于全新工艺技术在性能、功耗及面积方面的优化。”IBM研究中心全球半导体
2023-04-03 16:03:26

新竞争力—ARM Cortex-A9处理器

宏的形式,设计使用***电(TSMC)的40G40nm制造工艺技术制造。Osprey硬宏分别针对功耗和性能作了优化,而针对性能的优化使得ARM处理器完全进入了高性能应用竞争领域。“Osprey
2016-09-10 09:49:21

新能源汽车市场热度不断高涨,十家芯片上市公司一览

,比亚迪的纯电加混动年产能将超过140万辆。此外,比亚迪几乎包办了整车需要的最有价值的零组件设计制造,包括电池、电机、微控制器等半导体产品。 02 国产芯片上市公司发展强劲 全球缺芯潮已逐渐演变为
2022-11-23 14:40:42

晶圆凸起封装工艺技术简介

。  随着越来越多晶圆焊凸专业厂家将焊膏印刷工艺用于WLP封装,批量压印技术开始在半导体封装领域中广泛普及。然而,大型EMS企业也走进了WLP领域。封装和板卡之间的边界,以及封装与组装工艺之间的边界日渐模糊,迫使企业必须具备晶圆和芯片工艺技术来为客户服务`
2011-12-01 14:33:02

有知道国内生产器件的吗?求大神

求可靠的生产厂家,器件。求推荐
2017-05-12 10:21:28

极海推出APM32A系列车MCU芯片

极海宣布推出具有高效CPU处理性能、增强型存储空间、以及丰富连接功能的APM32A系列车MCU,以有效满足汽车电子多样化通信与车身控制应用开发需求,可广泛应用于车身控制、安全系统、信息娱乐系统
2023-02-21 14:21:11

武汉芯源半导体首款MCU,CW32A030C8T7通过AEC-Q100测试考核

源半导体提供线上公众号(武汉芯源半导体、CW32生态社区)、芯源CW32 MCU技术论坛等多个渠道的技术交流与支持服务。 广泛的车身应用,丰富汽车电子智能体验 CW32A030C8T7MCU遵循
2023-11-30 15:47:01

求一种基于Richtek RTQ7880的充电应用解决方案

基于Richtek RTQ7880的充电装置有哪些核心技术优势?
2021-08-06 06:19:11

求推荐几款芯片,一种是PWM输出信号芯片,一种是继电器控制输出芯片,都需要

PWM输出信号芯片类似于PCA9685这种,引脚越多越好,需要是。继电器控制输出芯片类似于TLE6244X这种,也需要是,急需,感谢大家
2021-05-25 15:31:03

瑞萨电子推出包括汽车在内的 10款全新成功产品组合

跨业务部门的协作。新的组织机构使瑞萨能够借助促进交叉销售机会和更广泛的用户覆盖来利用规模优势。此次全新推出的“成功产品组合”则是首批结合汽车与非用产品的方案。瑞萨电子高级副总裁兼首席销售市场官
2023-03-02 14:29:51

紫光展锐亮相MWC 2023首度展示三款商用芯片

40%。在工业电子领域,紫光展锐已推出V510、V516,以及面向智能座舱的A7870和面向行业解决方案的P7885。紫光展锐首度展示三款商用芯片2022年,展锐在汽车电子领域,也有多款产品量产
2023-02-28 10:00:39

肇观电子发布世界领先AI视觉处理芯片N171

;该技术广泛用于视觉定位和建图、三维重建、高精地图生成等应用5.每瓦数TOPs的深度神经网络计算能力6.同时实时采集并分析多路摄像头的视觉图像输入,广泛用于机器人、无人机、无人等应用7.接口丰富8.
2018-08-31 14:32:35

工艺制程,Intel VS电谁会赢?

生产。如果电真的能够完全按照这一时间展开工作的话,那么就将使该公司彻底走在了芯片制造领域的最前端。  目前,业内设备制造厂商大多刚刚开始拥抱14纳米芯片工艺,苹果最新的iPhone 6s系列就是
2016-01-25 09:38:11

请教腐蚀工艺的相关工艺流程及技术员的职责

请详细叙述腐蚀工艺工段的工艺流程以及整个前道的工艺技术
2011-04-13 18:34:13

请问芯片到底有哪些要求?

请问芯片到底有哪些要求?
2021-06-18 07:56:37

重庆电感供应/TS16949认证对电感厂家的重要性--谷景电子

汽车类电子的客户首先选择电感厂家看的是什么呢?是规模吗?是质量吗?是服务吗?都不是,首先看电感厂家有没有TS16949认证。为什么汽车类电子客户首先要求电感厂家拥有TS16949
2020-06-22 11:59:24

量产发布!国民技术首款MCU N32A455上市

2023年2月20日,国民技术在深圳正式推出兼具通用性、硬件安全性和高可靠性等优势特性的N32A455系列车MCU并宣布量产。这是继N32S032EAL5+安全芯片之后,国民技术发布
2023-02-20 17:44:27

锐成芯微宣布在22nm工艺推出双模蓝牙射频IP

40nm等工艺节点推出蓝牙IP解决方案,并已进入量产。此次推出的22nm双模蓝牙射频IP将使得公司的智能物联网IP平台更具特色。结合锐成芯微丰富的模拟IP、存储IP、接口IPIP整合及芯片定制服务、专业及时的技术支持,锐成芯微期待为广大物联网应用市场提供更完善的技术解决方案。
2023-02-15 17:09:56

常用PCB工艺技术参数

常用PCB工艺技术参数.
2010-07-15 16:03:1766

中芯国际和新思科技携手推出参考设计流程4.0

中芯国际和新思科技携手推出参考设计流程4.0 全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科公司与中国内地最大的芯片代工企业中芯国际集成电
2009-06-29 07:43:54369

思科技与中芯国际合作推出 DesignWareUSB 2.

思科技与中芯国际合作推出 DesignWareUSB 2.0 nanoPHY-- 用于中芯65纳米 LL 工艺技术,获得 USB 标志认证-- 通过芯片验证的 DesignWare PHY IP 降低了风险,易于集成到系
2010-05-13 21:37:27359

思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、

思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、获得USB标志认证的DesignWareUSB 2.0 nanoPHY 通过芯片验证的DesignWare PHY IP
2010-05-20 17:39:09589

采用SiGe:C BiCMOS工艺技术的射频/微波产品

采用SiGe:C BiCMOS工艺技术的射频/微波产品 恩智浦将在2010年底前推出超过50种采用SiGe:C技术的产品,其QUBiC4 SiGe:C工艺技术可提供高功率增益和优
2010-05-24 11:06:351367

Synopsys宣布提供经中芯国际65nm低漏电工艺芯片验证的DesignWare数据转换器IP

思科技有限公司日前宣布:该公司所提供经芯片生产验证的DesignWareTM数据转换器IP,已被应用于中芯国际广受欢迎的65纳米低漏电(Low Leakage)工艺技术
2011-04-21 09:57:061043

Synopsys推出DesignWare AEON非易失性存储器IP

Synopsys, Inc.宣布:即日起推出面向多种180纳米工艺技术的DesignWare® AEON®非易失性存储器(NVM)知识产权(IP)。
2011-06-29 09:04:28983

科锐公司推出两项新型GaN工艺技术

科锐公司(CREE)宣布推出两项新型GaN工艺:0.25微米、漏极电压最高为40V的G40V4和0.4微米、漏极电压最高为50VG50V3。新的工艺技术增加了工作电压和无线射频功率密度,与传统的技术相比
2012-07-18 14:30:561306

半导体工艺技术

半导体的制造流程以及各工位的详细工艺技术
2016-05-26 11:46:340

PCB测试工艺技术

PCB测试工艺技术,很详细的
2016-12-16 21:54:480

Synopsys推出可用于180nm CMOS工艺技术的可重编程非易失性存储器IP

关键词:180nm , CMOS工艺技术 , Synopsys , 非易失性存储器IP , 可重编程 全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科技有限公司(Synopsys
2018-10-14 17:36:01374

思科推出基于TSMC 7nm FinFET工艺技术的汽车级IP

基于7nm工艺技术的控制器和PHY IP具有丰富的产品组合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IPIP解决方案支持TSMC 7nm工艺技术所需的先进汽车设计规则,满足可靠性和15年汽车运行要求。
2018-10-18 14:57:216541

Synopsys推出支持TSMC 7nm工艺技术

思科技(Synopsys)推出支持TSMC 7nm FinFET工艺技术的汽车级DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231518

思科推出业内首个DDR5 NVDIMM-P验证IP 加速验证工作完成

思科技(Synopsys,Inc.纳斯达克股票代码:SNPS)近日宣布为DDR5/4非易失性双列直插式内存模块(NVDIMM-P),推出业内首个验证IP (VIP)。NVDIMM-P是新一代存储
2019-05-17 09:43:483182

思科技与GLOBALFOUNDRIES合作 开发覆盖面广泛的DesignWare® IP组合

思科技近日宣布与GLOBALFOUNDRIES (GF)合作,针对GF的12纳米领先性能(12LP) FinFET工艺技术,开发覆盖面广泛的DesignWare® IP组合,包括多协议25G
2019-07-05 09:13:133145

思科技将开发广泛DesignWare IP核产品组合

、DDR5、LPDDR5、MIPI、OTP NVM等 ●新思科技与GF的长期合作,成功实现了DesignWare IP核从180nm到12nm的开发,并可应用于广泛领域 新思科技(Synopsys)近日宣布
2020-11-03 16:48:082049

Arasan宣布用于台积公司22nm工艺技术的eMMC PHY IP立即可用

领先的移动和汽车SoC半导体IP提供商Arasan Chip Systems今天宣布,用于台积公司22nm工艺技术的eMMC PHY IP立即可用 加利福尼亚州圣何塞2021年1月21
2021-01-21 10:18:232385

IBM推出一项微芯片工艺技术中的新改进

IBM日前推出一项微芯片工艺技术中的新改进。该公司表示,这项改进将让为手机和其它通信设备制造更高速的硅设备
2021-03-26 11:08:541282

思科技DesignWare IP基于台积公司N5制程技术助力客户连续实现一次流片成功,获行业广泛采用

思科技高质量接口和基础IP核获得20多家领先半导体公司的采用,涵盖汽车、移动和高性能计算市场。
2021-06-29 14:46:55403

台积电新开发的N5A(5纳米A)制程将于2022年第三季度问世

新的 S32 系列汽车处理器中,已经用到了台积电的 16nm 工艺技术,而NXP本身也是N5A的重要潜在客户。 对于N5A,台积电声称其是世界上最先进的汽车半导体技术,目的是为了满足更密集的汽车应用对计算能力不断增长的需求,例如支持人工智能的驾驶员辅助和车辆驾驶舱的数
2021-07-25 17:43:57919

思科技加入英特尔代工服务新成立的生态系统联盟,携手加速下一代半导体设计开发

双方的共同客户可采用新思科面向英特尔工艺技术领先EDA和IP解决方案,实现降低设计风险并加速产品上市的目标。
2022-03-02 14:16:391248

思科技与芯耀辉就IP业务建立战略合作伙伴关系 一流科技OF智能云首发亮相

思科技(Synopsys)与芯耀辉(Akrostar)双方已达成数年期战略合作,新思科技授权芯耀辉运用新思科技12-28纳米工艺技术、适配国内芯片制造工艺的DesignWare® USB、DDR、MIPI、HDMI和PCI Express的系列IP核。
2022-03-16 15:31:171586

思科推出面向台积公司N6RF工艺全新射频设计流程

思科技(Synopsys)近日推出面向台积公司N6RF工艺的全新射频设计流程,以满足日益复杂的射频集成电路设计需求。
2022-06-24 14:30:13868

思科技获得台积公司的N3E和N4P工艺认证

思科技数字和定制设计流程获得台积公司的N3E和N4P工艺认证,并已推出面向工艺广泛IP组合
2022-07-12 11:10:51877

思科技设计、验证和IP解决方案助力Arm全面计算战略

  新思科技设计、验证和IP解决方案助力全新Arm Cortex CPU和新一代Arm GPU实现业内领先的性能和能效比。
2022-07-13 11:06:181015

思科技EDA和IP完整解决方案获台积公司N3E工艺认证,加速HPC、AI、和移动领域设计

,纳斯达克股票代码:SNPS )近日宣布,得益于与台积公司的长期合作,新思科技针对台积公司N3E工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台积公司N3E工艺上获得认证。此外,该流程和新思科广泛
2022-11-08 13:37:191359

新思携手台积公司推动半导体创新,以N3E工艺加速前沿应用芯片设计

工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台积公司N3E工艺上获得认证。此外,该流程和新思科广泛的基础IP、接口IP组合已经在台积公司N3E工艺上实现了多项成功流片,助力合
2022-11-10 11:15:22502

思科技、Ansys和是德科技推出面向台积公司16FFC工艺的全新毫米波参考流程,持续加速5G/6G SoC开发效率

为满足5G/6G SoC对性能和功耗的严苛需求,新思科技(Synopsys,Inc.,纳斯达克股票代码:SNPS)、Ansys和是德科技近日宣布,推出针对台积公司16纳米精简型工艺技术(16FFC
2022-11-16 16:24:19570

思科面向台积公司先进技术推出多裸晶芯片设计解决方案,共同推动系统级创新

为满足客户对异构计算密集型应用的复杂要求,新思科技(Synopsys,Inc.,纳斯达克股票代码:SNPS)近日宣布,推出业界领先的全面EDA和IP解决方案,面向采用了台积公司先进N7、N5和N3
2022-11-16 16:25:43877

思科面向台积电推出全面EDA和IP解决方案

     新思科技(Synopsys, Inc.)近日宣布,推出全面EDA和IP解决方案,面向采用了台积公司先进N7、N5和N3工艺技术的2D/2.5D/3D多裸晶芯片系统。基于与台积公司
2022-12-01 14:10:19487

思科技、台积公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

在3DFabric™技术和3Dblox™标准中的合作,新思科技能够为台积公司先进的7纳米、5纳米和3纳米工艺技术上的多裸晶芯片系统设计,提供业界领先的全方位EDA和IP解决方案。台积公司先进工艺技术集成
2023-05-18 16:04:08790

思科技与三星扩大IP合作,加速新兴领域先进SoC设计

、UCIe、LPDDR、DDR、MIPI等广泛使用的协议中,并在三星工艺中实现高性能和低延迟 新思科技基础IP,包括逻辑库、嵌入式存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面
2023-06-30 13:40:14341

两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科技车规级IP集成到三星的工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性 三星工艺中集成了广泛IP组合,并在新思科技经过认证的数字和定制设计流程的加持下,共同加速流片成功
2023-07-26 17:40:03255

思科IP成功在台积公司3nm工艺实现流片

基于台积公司N3E工艺技术的新思科IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
2023-08-24 17:37:47657

思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

。 Synopsys.ai™ EDA解决方案中的模拟设计迁移流程可实现台积公司工艺节点的快速设计迁移。 新思科技接口IP和基础IP广泛产品组合正在开发中,将助力缩短设计周期并降低集成风险。   加利福尼亚州桑尼维尔, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:22104

思科技携手台积公司加速N2工艺下的SoC创新

思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科技这两类芯片设计流程的发展势头强劲,其中数字设计流程已实现
2023-10-24 16:42:06475

思科面向台积公司N5A工艺技术推出领先广泛车规级IP组合

思科技(Synopsys, Inc.)近日宣布,面向台积公司N5A工艺推出业界领先广泛车规级接口IP和基础IP产品组合,携手台积公司推动下一代“软件定义汽车”发展,满足汽车系统级芯片(SoC)的长期可靠性和高性能计算需求。
2023-10-24 17:24:56505

思科技携手是德科技、Ansys面向台积公司4 纳米射频FinFET工艺推出全新参考流程,助力加速射频芯片设计

是德科技(Keysight)、Ansys共同推出面向台积公司业界领先N4PRF工艺(4纳米射频FinFET工艺)的全新参考流程。该
2023-10-30 16:13:05106

思科推出业界领先广泛车规级接口IP和基础IP产品组合

和 M-PHY ,以及 USB IP 产品都遵循了 TSMC N5A 工艺领先的车载等级设计规则。 新思科技宣布面向台积公司N5A工艺推出业界领先广泛车规级接口IP和基
2023-10-31 09:18:44709

思科技与英特尔深化合作,以新思科IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

 芯片制造商与EDA解决方案和广泛IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
2024-03-05 10:16:5984

已全部加载完成