0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

Cadence楷登 来源:Cadence楷登 2023-05-09 10:09 次阅读

内容提要:

双方协力加速 N3E 和 N2 节点上的人工智能、超大规模和移动 IC 开发

共同客户积极使用 N3E 和 N2 的 PDK 进行设计

支持 TSMC 最新节点的 Cadence 流程提供了最佳的 PPA、简易的模拟数据迁移并帮助加快产品上市

楷登电子(美国 Cadence 公司NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发布了相应的 N3E 和 N2 制程设计套件(PDK),以加快在上述节点的移动、人工智能和超大规模计算的 IC 设计创新。客户已开始积极使用这些新的工艺节点和经过认证的 Cadence流程来实现功率、性能和面积(PPA)目标,简化模拟迁移过程,并缩短上市时间。

N3E 和 N2 工艺的数字全流程认证

Cadence 和 TSMC 紧密合作,确保其完整的 RTL-to-GDS 流程符合 TSMC 的 N3E 和 N2 节点要求,其中包括Innovus Implementation System、Quantus Extraction Solution 和 Quantus Field Solver、TempusTiming Signoff Solution 和 ECO Option、PegasusVerification System、LiberateCharacterization Portfolio、VoltusIC Power Integrity Solution 以及 Voltus-Fi Custom Power Integrity Solution。GenusSynthesis Solution 结合预测性质的 iSpatial 技术也支持最新的 N3E 和 N2 技术。

完整的 Cadence 数字实现和签核流程支持一系列新的设计特征,包括为了在 N3E 节点上实现最佳 PPA 结果,从综合到签核工程变更命令(ECO)都可以使用原生的混合单元行优化技术;以及对单元引脚对齐和连接的支持。该流程可供客户快速采用,以便他们体验最新的 TSMC N3E 和 N2 工艺技术所带来的优势。

N3E 和 N2 定制/模拟流程认证

Cadence Virtuoso Studio,包括 Virtuoso Schematic Editor、Virtuoso ADE Suite 和 Virtuoso Layout Suite,以及 SpectreSimulation Platform,包括 Spectre X Simulator、Spectre Accelerated Parallel Simulator (APS)、Spectre eXtensive Partitioning Simulator (XPS) 和 Spectre RF Option,这些产品在管理工艺角仿真、统计分析、设计中心化和电路优化上均做了改进。最新的 Virtuoso ADE Suite 架构能够在现代计算集群或公有云/私有云中并行运行多达数千个仿真点,从而帮助用户优化设计。

Virtuoso Layout Suite 包含多项创新,旨在提供更高效的 IC layout,以提供更好的性能和扩展性;基于网格的结构化器件摆放方法,在布局、布线、填充和 dummy 的插入上具有互动式的助理功能;一个新的器件级自动布线工具,旨在解决先进制程节点上的挑战;在 TSMC 先进制程节点上跨节点移植定制设计和 layout,具有增强的模拟迁移和 layout 重用功能;集成的寄生参数提取和 EM-IR 检查;以及结合 Pegasus Verification Solution,进行集成式签核级别的物理验证能力。

“我们的客户能够使用已通过最先进的 N3E 和 N2 工艺认证的设计工具,这至关重要。他们可以享受最新工艺革新带来的显著功耗和性能提升。”TSMC 设计基础设施管理部主管 Dan Kochpatcharin表示,“通过与 Cadence 的持续合作,我们一直在寻找新的方法,来为客户提供价值。这些客户每日都在努力将下一代芯片创新带入生活。”

“Cadence 和 TSMC 都致力于推动技术进步,塑造电子设计的未来,助力工程师们实现 PPA 和生产力目标,”Cadence 公司资深副总裁兼数字与签核事业部总经理 Chin-Chi Teng 博士表示,“随着芯片需求持续增长,创新的步伐也必须跟上。我们非常有信心,使用我们的数字和定制/模拟设计流程,加上 TSMC 的 N3E 和 N2 技术,客户一定能够实现设计成功。”

Cadence 的数字和定制/模拟设计流程支持 Cadence 的智能系统设计(Intelligent System Design)战略,旨在实现系统级芯片(SoC)的卓越设计。

关于 Cadence

Cadence 是电子系统设计领域的关键领导者,拥有超过 30 年的计算软件专业积累。基于公司的智能系统设计战略,Cadence 致力于提供软件、硬件和 IP 产品,助力电子设计概念成为现实。Cadence 的客户遍布全球,皆为最具创新能力的企业,他们向超大规模计算、5G 通讯、汽车、移动设备、航空、消费电子工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence 已连续九年名列美国财富杂志评选的 100 家最适合工作的公司。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • TSMC
    +关注

    关注

    3

    文章

    176

    浏览量

    84031
  • 工艺
    +关注

    关注

    3

    文章

    540

    浏览量

    28587
  • 模拟设计
    +关注

    关注

    1

    文章

    54

    浏览量

    18374
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140788

原文标题:Cadence 数字和定制/模拟设计流程获得 TSMC 最新 N3E 和 N2 工艺技术认证

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过
    的头像 发表于 02-27 14:02 210次阅读

    新思科技携手台积公司加速N2工艺下的SoC创新

    新思科技近日宣布,其数字定制/模拟设流程已通过台积公司N2工艺技术
    的头像 发表于 10-24 16:42 508次阅读

    新思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字模拟设流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字
    发表于 10-19 11:44 128次阅读

    Cadence 数字定制/模拟设流程TSMC 最新 N2 工艺认证

    内容提要 Cadence 数字流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器 Cadence Cerebr
    的头像 发表于 10-10 16:05 300次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3EN2 工艺技术。 这款生成式设计迁移
    的头像 发表于 09-27 10:10 347次阅读

    ARM Neoverse™N2核心技术参考手册

    n2核仅支持专线连接。 有关直接连接的更多信息,请参阅ARM®Dynamiq™共享单元-110型技术参考手册。
    发表于 08-29 08:12

    Arm Neoverse N2汽车硬件技术概述

    算子系统。RD-N2-Automotive是在RD-N2的基础上逐步开发的。 本文件必须与Arm NeoverseTM N2参考设计(RD-N2
    发表于 08-10 06:25

    ARM Neoverse N2 PMU指南

    参考ENEPERN2号更详尽的描述。 关于NEPERVERT N2技术参考手册, 请参考Armé EVERTM N2 技术参考手册。本文件
    发表于 08-09 06:07

    Cadence 数字定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,
    的头像 发表于 07-14 12:50 409次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 413次阅读

    Cadence 数字定制/模拟设流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 350次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 508次阅读

    Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺N3E)的 112G 超长
    发表于 05-19 16:25 807次阅读
    <b class='flag-5'>Cadence</b> 发布面向 <b class='flag-5'>TSMC</b> 3nm <b class='flag-5'>工艺</b>的 112G-ELR SerDes IP 展示

    西门子EDA Calibre 平台获台积电先进N3EN2工艺认证

    解决方案—— Calibre® nmPlatform,现已获得台积电的 N3EN2 工艺认证,该套解决方案包括 Calibre® nm
    的头像 发表于 05-11 18:25 1921次阅读

    Cadence定制设计迁移流程加快台积电N3EN2工艺技术的采用速度

    ,包括最新的 N3EN2 工艺技术。这一新的生成式设计迁移流程Cadence 和台积电共同开发,旨在实现
    的头像 发表于 05-06 15:02 852次阅读