0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>

可编程逻辑

提供权威的PLD及可编程逻辑器件设计应用、Altera公司、Xilinx公司资讯和解决方案,包括HDL语言与源代码、FPGA开发板、EDA工具、FPGA、FPGA软件等领域。
请问如何FPGA上使用SVM进行图像处理呢?

请问如何FPGA上使用SVM进行图像处理呢?

面部识别是一个经常讨论的计算机科学话题,并且由于计算机处理能力的指数级增长而成为人们高度关注的话题。...

2024-03-18 标签:FPGA图像处理计算机SVM面部识别 308

中端FPGA成为主战场,Altera独立后的市场格局

中端FPGA成为主战场,Altera独立后的市场格局

电子发烧友网报道(文/周凯扬)随着前几年Xilinx被AMD收购,近期Altera从英特尔独立出来,不少人都在关注FPGA市场会迎来怎样的变局。在这个AI ASIC爆火的时代,可编程的FPGA如何找到新的增长点...

2024-03-12 标签:FPGAamdasicAlteraLattice 2747

FPGA布局布线的可行性 FPGA布局布线失败怎么办

FPGA布局布线的可行性 FPGA布局布线失败怎么办

随着电子技术的进步.FPGA逻辑电路能完成的功能越来越多,同样也带来了一个很大的问题,即逻辑电路的规模越来越大,这意味着RTL代码到FPGA的映射、布局布线所花费的时间也越来越长。...

2024-03-18 标签:FPGA逻辑电路PCB设计 118

Achronix的FPGA有哪方面的优势?

Achronix的FPGA有哪方面的优势?

Achronix的Speedster7t支持PCIe Gen5和112G Serdes,而AMD的高端系列Virtex Ultrascale+也仅仅支持到PCIe Gen4,也只在最高端的FPGA中支持到58Gb的GTM,大多数Virtex Ultrascale+仅仅支持32.75Gb的GTY。...

2024-03-18 标签:FPGAamdRAMintelAchronix 21

FPGA集成技术的创新之路

为什么CPU很早就集成了缓存和主内存控制器(DDR或HBM)、PCI-Express外围设备和以太网网络接口的层次结构,并逐渐从服务器主板转移到裸片上。...

2024-03-18 标签:FPGAasiccpusoc可编程逻辑器件 24

AMD推出全新Spartan UltraScale+ FPGA系列

AMD推出全新Spartan UltraScale+ FPGA系列

AMD 已经拥有 Zynq UltraScale+ 和 Artix UltraScale+ 系列,而 Spartan UltraScale+ FPGA 系列的推出使其不断现代化。...

2024-03-18 标签:FPGAamd 14

基于FPGA的DSP系统设计中流水线技术的主要应用

基于FPGA的DSP系统设计中流水线技术的主要应用

在数字信号处理(DSP)领域,需要处理的数据量很大,并且实时性要求很高。...

2024-03-14 标签:FPGA寄存器数字信号处理DSP系统触发器 447

FPGA设计需要掌握的四大核心要点

FPGA设计需要掌握的四大核心要点

CPU core:分为2种,软core和硬core.软core是用逻辑代码写的CPU模块,可以在任何资源足够的FPGA中实现,使用非常灵活。而且在大容量的FPGA中还可以集成多个软core,实现多核并行处理。...

2024-03-14 标签:dspFPGAcpuD触发器 64

FPGA实现双调排序算法的探索与实践

FPGA实现双调排序算法的探索与实践

双调排序(BitonicSort)是数据独立(Data-independent)的排序算法,即比较顺序与数据无关,特别适合并行执行。在了解双调排序算法之前,我们先来看看什么是双调序列。...

2024-03-14 标签:FPGA算法 26

哪些因此会导致时钟skew过大呢?FPGA中降低时钟skew的几种方法

哪些因此会导致时钟skew过大呢?FPGA中降低时钟skew的几种方法

在时序报告中,会显示出clock path skew,如果时钟偏移超过0.5ns,就需要额外关注了。...

2024-03-13 标签:FPGA时钟缓冲器Vivado 391

印制板布线的一些原则 大电流走线的处理方法

开关电源是一种电压转换电路,主要的工作内容是升压和降压,广泛应用于现代电子产品。...

2024-03-12 标签:变压器开关电源振荡电路PCB设计PCB设计变压器变压器开关电源振荡电路电压转换电路 250

深度解析软镜内窥镜中FPGA技术应用

深度解析软镜内窥镜中FPGA技术应用

这是一款入门级别的软镜内窥镜,采OVM6946+OV426方案实现,其中镜头部分长度为1.5m,USB信号线部分为1.5m,合计约3米。...

2024-03-08 标签:FPGAusb内窥镜 195

基于FPGA的高效乘法器

基于FPGA的高效乘法器

乘数为1时需要左移的位数与数据位的权重其实有关,但是FPGA实现这样的运算并不算特别简单,还能不能简化?...

2024-03-08 标签:FPGA寄存器乘法器 217

FPGA的力量:2024年AI计算领域的黑马?

FPGA的力量:2024年AI计算领域的黑马?

随着人工智能(AI)的快速发展,其对计算能力的需求也在持续增长。传统的中央处理器(CPU)和图形处理器(GPU)虽然在AI计算中占据主导地位,但面对日益增长的数据量和计算复杂性,它们...

2024-03-07 标签:FPGAAI可编程门阵列回流焊 301

FPGA设计的IP和算法应用综述

FPGA设计的IP和算法应用综述

IP(Intelligent Property) 核是具有知识产权核的集成电路芯核总称,是经过反复验证过的、具有特定功能的宏模块,与芯片制造工艺无关,可以移植到不同的半导体工艺中。...

2024-03-07 标签:FPGA设计寄存器EDA工具FFTRTL 524

求一种基于FPGA的应急动力装置控制单元超转保护系统设计方案

求一种基于FPGA的应急动力装置控制单元超转保护系统设计方案

应急动力装置是以燃料分解产生的热燃气或发动机引气为动力源的涡轮动力装置[1],由涡轮、燃烧分解室、燃料箱、齿轮箱及控制部件组成,可以在发动机故障、主电源故障或主液压源故障时...

2024-03-06 标签:传感器FPGA寄存器正弦波FPGA传感器低通滤波电路寄存器正弦波 472

为什么对FPGA软件进行测评?

FPGA软件包含进行设计而产生的程序、文档和数据,同时包含与之相关的软件特性和硬件特性。FPGA软件测试需要考虑软件代码正确性、软硬件接口协调性、时序性等方面的全面覆盖。...

2024-03-06 标签:FPGA软件测试 72

AMD 扩展市场领先的 FPGA 产品组合,推出专为成本敏感型边缘应用打造的AMD Spa

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能 —   2024 年 3 月 5 日,加利福尼亚州圣克拉拉—— AMD(超威,纳斯达克股票代码:...

2024-03-06 标签:FPGAamd 64

RS触发器逻辑门组成和逻辑功能表

RS触发器逻辑门组成和逻辑功能表

RS是由两个或非门组成,而或非门的输出又作为另一个或非门的输入。下面说一句对RS触发器理解最重要的一句话:或非门如果有一个输入端为1,那么不用考虑另一个输入为什么,可以直接得出...

2024-03-05 标签:放大器逻辑电路门电路RS触发器触发器 736

专家访谈 | FPGA到底是啥?如何做好FPGA质量控制与测试?

专家访谈 | FPGA到底是啥?如何做好FPGA质量控制与测试?

随着数字化、智能化装备的爆发式发展,具备更高可靠性、更高集成性的FPGA产品,依靠自身的自定义编程设计、可重复性修订等特性,成功取代了传统堆叠化电子元器件设计方式,应用到装备...

2024-03-04 标签:集成电路测试FPGA测试测试集成电路 336

VHDL语言快速入门指南

VHDL语言快速入门指南

HDL(VHSIC Hardware Description Language)是一种硬件描述语言,主要用于描述数字电路和系统的结构、行为和功能。它是一种用于硬件设计的标准化语言,能够帮助工程师们更好地描述和设计数字电路...

2024-03-04 标签:FPGAasicvhdl时钟信号asicFPGAvhdl时序逻辑时钟信号 70

FPGA实现IIC协议的设计

FPGA实现IIC协议的设计

今天给大家带来的是IIC通信,IIC协议应用非常广泛,例如与MPU6050进行通信,配置OV5640摄像头、驱动OLED屏幕等等,都需要使用到IIC协议,所以掌握它是非常必要的,废话不多说,接着往下看。...

2024-03-04 标签:FPGA寄存器OLED屏OV5640IIC通信 481

一文读懂内窥镜软窥FPGA解决方案

一文读懂内窥镜软窥FPGA解决方案

这里我们定制了1米长的OV6946软窥模组,计划将解码芯片OV426及FPGA+缓存,做到一个板子上,板载支持HDMI显示,或者本地RGBLCD显示。OV6946模组,集成了2个LED灯,模拟输出。...

2024-03-04 标签:传感器FPGAled内窥镜 227

FPGA驱动下的视频图像拼接融合技术革新

FPGA驱动下的视频图像拼接融合技术革新

视频流的每个单独帧将具有对应于红色、绿色和蓝色的三个通道。视频帧中的颜色信息不会增强特征检测。此外,与单通道 8 位图像相比,3 通道 8 位图像的计算需要更多时间。...

2024-03-01 标签:FPGA存储器视频图像算法相机传感器 56

FPGA时钟约束余量超差问题的解决方案

FPGA时钟约束余量超差问题的解决方案

就是看看超差的那个线路,增加一些中间寄存器,或者使用流水线技术,就是将组合逻辑和时序逻辑分开,大的时序逻辑,尽量优化成由很多小的时序逻辑组成一个大的时序逻辑。...

2024-02-29 标签:FPGA寄存器时序逻辑时钟约束 101

电迁移导致半导体失效的机理探讨

电迁移导致半导体失效的机理探讨

SRAM工艺的处理器是通过CMOS内部管道切换的方式工作,其产品处理速度较高,被众多用户接受。但是,CMOS工艺有一个致命缺陷,由于工艺原因,伴随CMOS工艺制成芯片产生米勒效应极其容易受到...

2024-02-29 标签:处理器FPGACMOSsram 93

FPGA图像处理—VESA标准与视频流介绍

FPGA图像处理—VESA标准与视频流介绍

VESA 视频标准同步信号产生器,是从事FPGA图像领域工程师经常使用到的模块。...

2024-02-29 标签:FPGAVESAmatlab图像处理仿真器 797

7系列FPGA中的POST_CRC错误检测与恢复策略

7系列FPGA中的POST_CRC错误检测与恢复策略

FPGA 在比特流被加载时计算 CRC 值,然后该值与在比特流加载结束时预期的 CRC 值进行比较。如果两个值匹配,则FPGA 成功加载。...

2024-02-28 标签:FPGA寄存器存储器crc 83

基于微软数据中心的FPGA讲解分析

基于微软数据中心的FPGA讲解分析

FPGA 和 GPU 最大的区别在于体系结构,FPGA 更适合做需要低延迟的流式处理,GPU 更适合做大批量同构数据的处理。...

2024-02-27 标签:FPGA神经网络云计算数据中心PCIe 151

在FPGA上为FPGA设计PCB的步骤详解

在FPGA上为FPGA设计PCB的步骤详解

在 FPGA(Zynq™ UltraScale+™ MPSoC) 上的 Ubuntu 22.04 桌面映像上安装了各种 EE 设计应用程序(包括 KiCad),并用它设计 PCB。...

2024-02-26 标签:FPGASD卡PCB设计QSPIUbuntu系统 871

编辑推荐厂商产品技术软件/工具OS/语言教程专题