电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Cadence获得TSMC的“客户首选奖”

Cadence设计系统公司,在TSMC最近举办的Open Innovation Platform Ecosystem Forum上因DRAM接口IP和技术方面的相关论文而获得“客户首选奖”
2013-01-30 09:08:27842

3nm 工艺的产量比 5nm 工艺提升 30% 或用在苹果A17 芯片

苹果的主要芯片供应商台积电(TSMC)有望在今年下半年开始风险生产 3nm 制造工艺,届时该晶圆厂将有能力处理 3 万片使用更先进技术打造的晶圆。
2021-03-02 10:00:152864

10nm、7nm等制程到底是指什么?宏旺半导体和你聊聊

随着半导体产业技术的不断发展,芯片制程工艺已从90nm、65nm、45nm、32nm、22nm、14nm升级到到现在比较主流的10nm、7nm,而最近据媒体报道,半导体的3nm工艺研发制作也启动
2019-12-10 14:38:41

TSMC350nm工艺库是不是不太适合做LC-VCO啊?

想问一下,TSMC350nm工艺库是不是不太适合做LC-VCO啊,库里就一个电容能选的,也没有电感可以选。(因为课程提供的工艺库就只有这个350nm的,想做LC-VCO感觉又不太适合,好像只能做ring-VCO了)请问350nm有RF工艺嘛,或者您有什么其他的工艺推荐?
2021-06-24 08:06:46

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?求大神解答
2021-06-23 07:33:12

ADC与DAC工艺节点案例分析

3GS/s,100MS/s 时有效位数 (ENOB) 为 8.6,功耗仅为 13mW,采用 GlobalFoundries 的 22nm FD-SOI 工艺制造。Ken 展示的第一款低功耗数模转换器
2023-02-07 14:11:25

EDA辅助设计不得不提的 IP

提供的,还是只有Synopsys或Cadence。就在前天,Cadence发了款TSMC 7nm的超高速112G/56G 长距离SerDes,用于云数据中心和光网络芯片,5G基础设施的核心IP
2020-06-15 08:03:59

TSMC90nm工艺库,请问可以分享一下吗?

TSMC90nm工艺库,请问可以分享一下吗?
2021-06-22 06:21:52

芯片工艺从目前的7nm升级到3nm后,到底有多大提升呢?

10nm、7nm等到底是指什么?芯片工艺从目前的7nm升级到3nm后,到底有多大提升呢?
2021-06-18 06:43:04

请问各位大佬Cadence610能同时装两个工艺库吗?

请问各位大佬,Cadence610能同时装两个工艺库吗,例如TSMC和SMIC同时装上?
2021-06-25 07:42:12

M31 SerDes PHY IP

M31 SerDes PHY IP M31 SerDes PHY IP为高带宽应用提供高性能、多通道功能和低功耗架构。SerDes IP支持从1.25G到10.3125Gbps的数据速率
2023-04-03 20:29:47

【图文】ARM、赛灵思首发TSMC 7nm:2017年初流片,2018年将上市

TSMC、三星不仅要争抢10nm工艺,再下一代的7nm工艺更为重要,因为10nm节点被认为是低功耗型过渡工艺,7nm才是真正的高性能工艺,意义更重大。现在ARM宣布已将Artisan物理IP内核授权给赛灵思(Xilinx)公司,制造工艺则是TSMC公司的7nm
2017-01-13 12:57:111581

Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台

2017年4月18日,中国上海 – 楷登电子(美国Cadence公司,NASDAQ: CDNS)今日正式发布针对7nm工艺的全新Virtuoso® 先进工艺节点平台。通过与采用7nm FinFET
2017-04-18 11:09:491165

继7nm量产后,台积电公布了3nm制程工艺计划

据国际电子商情,近日,台积电公布了3nm制程工艺计划,目前台南园区的3nm晶圆工厂已经通过了环评初审,台积电
2018-08-17 14:27:362951

台积电公布了3nm制程工艺计划,预计2023年初投产

近日,台积电公布了3nm制程工艺计划,目前台南园区的3nm晶圆工厂已经通过了环评初审,台积电计划投资6000亿新台币(约为194亿美元),2020年开始建厂,2021年完成设备安装,预计最快2022年底到2023年初投产,3nm厂完成后预计雇用员工达四千人。
2018-08-18 11:04:304100

新思科技推出基于TSMC 7nm FinFET工艺技术的汽车级IP

基于7nm工艺技术的控制器和PHY IP具有丰富的产品组合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IPIP解决方案支持TSMC 7nm工艺技术所需的先进汽车设计规则,满足可靠性和15年汽车运行要求。
2018-10-18 14:57:216541

Synopsys推出支持TSMC 7nm工艺技术

新思科技(Synopsys)推出支持TSMC 7nm FinFET工艺技术的汽车级DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231517

Credo于TSMC 2018南京OIP研讨会首次公开展示7纳米工艺结点112G SerDes

Credo 在2016年展示了其独特的28纳米工艺节点下的混合讯号112G PAM4 SerDes技术来实现低功耗100G光模块,并且快速地跃进至16纳米工艺结点来提供创新且互补的112G连接
2018-10-30 11:11:125204

Cadence推出通过硅验证的长距离7nm 112G SerDes IP

端口,并有望在2020年成为主流技术;而800G以太网端口将成为届时的新技术。112G SerDes技术的数据速率是56G SerDes的两倍,因此可以满足机器学习和神经网络等新兴数据密集型应用的爆炸式高速连接需求。
2018-11-16 16:39:396124

三星发布3nm节点工艺!GAAFET!

三星的3nm工艺节点采用的GAAFET晶体管是什么?
2019-05-17 15:38:5410624

一图看懂三星14nm工艺3nm工艺的区别

在上周的美国SFF晶圆代工论坛上,三星发布了新一代的逻辑工艺路线图,2021年就要量产3nm工艺了,而且首发使用新一代GAA晶体管工艺,领先对手台积电1年时间,领先Intel公司至少2-3年时间。
2019-05-20 16:43:4510498

三星用 GAA工艺挑战物理极限 推进3nm工艺

三星率先发布3nm工艺路线图,领先于台积电和英特尔。
2019-05-30 15:48:434697

三星发布新一代3nm闸极全环工艺 在GAA工艺上获得领先地位

发布了新一代3nm闸极全环(GAA,Gate-All-Around)工艺。与7nm技术相比,三星的3GAE工艺将减少45%的面积,降低50%的功耗,提升35%的性能。三星表示第一批3nm芯片主要面向智能手机及其他移动设备。
2019-05-30 15:53:463700

新思科技助力三星5nm/4nm/3nm工艺再加速

近日,全球知名的EDA工具厂商新思科技(Synopsys)宣布,面向三星7LPP(7nm Low Power Plus)和更先进工艺的良率学习平台设计取得了重大突破,这将为三星后续5nm、4nm3nm工艺的量产和良品率的提升奠定坚实基础。
2019-07-09 17:13:484225

行业 | 新思科技将继续与三星合作研发3nm工艺!

3nm工艺相较于今年开始量产的7nm EUV工艺更为先进,是下一代半导体加工工艺,可以进一步减少芯片尺寸。
2019-07-25 15:13:562911

联发科112G远程SerDes芯片可满足特定需求

联发科技(MediaTek)宣布,其ASIC服务将扩展至112G远程(LR)SerDes IP芯片。MediaTek的112G 远程 SerDes采用经过硅验证的7nm FinFET制程工艺,使数据中心能够快速有效地处理大量特定类型的数据,从而提升计算速度。
2019-11-12 10:04:094836

MediaTek ASIC服务推出硅验证的7nm制程112G远程SerDes IP

MediaTek今日宣布,其ASIC服务将扩展至112G远程(LR)SerDes IP芯片。MediaTek的112G 远程 SerDes采用经过硅验证的7nm FinFET制程工艺,使数据中心能够快速有效地处理大量特定类型的数据,从而提升计算速度。
2019-11-12 14:22:23786

三星6nm工艺已量产出货,3nm GAE工艺也将研发完成

由于在7nm节点激进地采用了EUV工艺,三星的7nm工艺量产时间比台积电要晚了一年,目前采用高通的骁龙765系列芯片使用三星7nm EUV工艺量产。在这之后,三星已经加快了新工艺的进度,日前6nm工艺也已经量产出货,今年还会完成3nm GAE工艺的开发。
2020-01-06 16:13:073254

台积电将会为3nm工艺技术选择什么线路

在2019年的日本SFF会议上,三星还公布了3nm工艺的具体指标,与现在的7nm工艺相比,3nm工艺可将核心面积减少45%,功耗降低50%,性能提升35%。
2020-02-06 14:54:431389

台积电3nm工艺试产延期到10月份

台积电3nm工艺总投资高达1.5万亿新台币,约合500亿美元,光是建厂就至少200亿美元了,原本计划6月份试产,现在要延期到10月份了。
2020-03-31 09:07:461421

受疫情影响 三星3nm工艺量产或延期

近日,DigiTimes在一份报告中称,三星3nm工艺量产时间可能已经延期至2022年。
2020-04-07 08:39:492024

三星3nm工艺明年量产不太可能实现

据国外媒体报道,在5nm工艺即将大规模量产的情况下,3nm工艺就成了台积电和三星这两大芯片代工商关注的焦点,三星电子旗下的三星晶圆代工,此前设定的目标是在2021年大规模量产3nm工艺
2020-04-07 17:43:512095

三星3nm工艺投产延迟,新技术让芯片功耗下降约50%

4月7日消息,在 5nm 工艺即将大规模量产的情况下,3nm 工艺就成了台积电和三星这两大芯片代工商关注的焦点。三星电子旗下的三星晶圆代工,此前设定的目标是在2021年大规模量产 3nm工艺
2020-04-08 14:41:142500

台积电3nm工艺正式宣布2022年量产

尽管2020年全球半导体行业会因为疫情导致下滑,但台积电的业绩不降反升,掌握着7nm、5nm先进工艺的他们更受客户青睐。今天的财报会上,台积电也首次正式宣布3nm工艺详情,预定在2022年下半年量产。
2020-04-17 08:59:213898

台积电披露3nm工艺详情:性能提升7%,能耗比提升15%

近日,台积电正式披露了其最新3nm工艺的细节详情,其晶体管密度达到了破天荒的2.5亿/mm2!
2020-04-20 14:58:142112

台积电:3nm芯片将是2022年最先进的芯片工艺

随着台积电5nm工艺逐步走入正轨,其也开始了下一段征程,近日,外媒爆料称,台积电正打算于2022年下半年量产3nm芯片,初期产能定为5.5 万片/月。
2020-11-25 17:29:486401

消息称台积电第二代3nm工艺计划2023年推出

据英文媒体报道,在5nm工艺大规模量产,为苹果等厂商代工相关的芯片之后,台积电下一阶段芯片制程工艺研发及量产的重点就将是更先进的3nm工艺,厂房在上个月已经完工,计划在2021年风险试产,2022
2020-12-02 17:14:461572

台积电宣布3nm Plus工艺将在2023年推出,消息称苹果将是3nm Plus工艺的首个客户

据国外媒体报道,在 5nm 工艺今年一季度大规模量产、为苹果等客户代工相关的芯片之后,台积电下一步的重点就将是更先进的 3nm 工艺,这一工艺的研发在按计划推进,厂房在 11 月份就已经
2020-12-18 10:47:141871

台积电3nm工艺:2022年量产,苹果A16芯片将首发

台积电宣布,将会在 2023 年推出 3nm 工艺的增强版,命名为「3nm Plus」,首发客户是苹果。如果苹果继续一年一代芯片,那么到 2023 年使用 3nm Plus 工艺的,将会是苹果「A17」。
2020-12-18 14:09:323307

台积电宣布将在2023推3nm Plus工艺

日前,台积电官方正式宣布,将在2023年推出3nm工艺的增强版,该工艺将被命名为“3nm Plus”,首发客户依然是苹果。按苹果一年更新一代芯片的速度,届时使用3nm Plus工艺的将是“A17”芯片。
2020-12-18 14:07:242037

台积电3nm工艺将实现15%性能提升

2020年,市面上出现了大量5nm工艺的芯片,诸如苹果A14仿生、麒麟9000以及骁龙888等旗舰芯片均采用5nm工艺。而根据最新的报道显示,在批量生产5nm工艺芯片的同时,台积电也在研发更加先进的3nm工艺,目前3nm工艺的研发正在有序进行中。
2020-12-21 15:17:481799

苹果已预定台积电3nm产能

台积电是目前少数几家能生产5nm制程的半导体公司。根据此前的消息,除了5nm制程,台积电还在研发最新的3nm工艺,而且研发工作已经接近尾声。近日,有知情人士透露,苹果公司已预订了台积电3nm的产能,将来用于生产A系列芯片和M系列自研芯片。另外,还有传言称台积电3nm工艺将用于制造A16芯片。
2020-12-23 10:41:421906

台积电计划今年3nm工艺将完成试生产

外媒报道,台积电和三星在3nm工艺技术的开发中遇到了不同却关键的瓶颈。 因此,台积电和三星将不得不推迟3nm工艺技术的开发进度。
2021-01-05 09:39:261718

台积电研发3nm工艺遇阻

近日,外媒援引供应链内部消息称,目前晶圆代工厂的两大头部企业台积电和三星的3nm制程工艺均遭遇不同程度的挑战,因此,最终3nm芯片的量产可能会相应的推迟。
2021-01-05 16:50:202107

台积电三星3nm制程工艺研发均受阻

2020年,台积电和三星这两大芯片代工商,均把芯片制程工艺提升至5nm,而且更先进的3nm制程也在计划中,不过,最近它们好像都遇到了一些麻烦。
2021-01-12 16:26:532207

台积电3nm工艺制程超过预期,进度将会提前

在ISSCC 2021国际固态电路会议上,台积电联席CEO刘德音公布了该公司的最新工艺进展情况,指出3nm工艺超过预期,进度将会提前。
2021-02-19 11:58:411313

台积电3nm工艺进度超前 EUV工艺获突破:直奔1nm

在ISSCC 2021国际固态电路会议上,台积电联席CEO刘德音公布了该公司的最新工艺进展情况,指出3nm工艺超过预期,进度将会提前。 不过刘德音没有公布3nm工艺到底如何超前的,按照他们公布的信息
2021-02-19 15:13:402028

台积电3nm工艺技术研发超预期

近日,2021年国际固态电路会议正式召开。在会议上,台积电董事长刘德音向外界公布了公司3nm工艺的研发进度。
2021-02-26 16:33:571488

基于台积电5nm制程工艺 112G SerDes连接芯片发布

中的苹果M1 SoC,现在这个列表中又新添一名成员,它就是基于台积电5nm制程工艺 112G SerDes连接芯片。近日,Marvell宣布了其基于DSP的112G SerDes解决方案的授权。 现代
2021-04-19 16:40:592250

楷登电子发布PCIe 6.0规范Cadence IP

中国上海,2021 年 10 月 22 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)宣布发布支持 TSMC N5 工艺的 PCI Express(PCIe)6.0 规范
2021-10-26 14:28:004024

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

)宣布,其数字和定制/模拟流程已获得 TSMC N3 和 N4 工艺技术认证,支持最新的设计规则手册(DRM)。通过持续合作,CadenceTSMC 发布TSMC N3 和 N
2021-10-26 15:10:581928

台积电预计2025年量产2nm3nm工艺计划8月份开始试产

台积电还谈到了未来的新工艺的进度,3nm工艺将在今年下半年量产,而2025年则会量产2nm工艺
2022-04-15 09:58:241618

Cadence提供集成PHY和控制器 IP完整子系统

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,众多领先的半导体和系统客户已成功采用面向 TSMC 5nm 制程技术的全系列 Cadence® 设计 IP 产品。
2022-06-24 14:52:461585

苹果M2 Pro和M3芯片将会采用台积电3nm工艺?苹果或许没那么好心

今日,据DIGITIMES科技网报道称,苹果的M2 Pro和M3芯片将会采用台积电3nm制程工艺。 据了解,台积电将于今年下半年正式量产3nm芯片,而苹果已经为其M2 Pro和M3芯片预定
2022-06-29 16:34:042260

成功弯道超车!三星明天将开始量产3nm工艺,抢先台积电一步占领市场

今日,据媒体报道,三星的3nm制程芯片将在明天开始量产。 作为晶圆代工界常年第二的三星,一度被台积电压一头,超越台积电也成为了三星的一个目标。这次三星把目光集中在了3nm工艺上,不仅要抢在台积电前面
2022-06-29 17:01:531167

2nm3nm制程什么意思

nm指的是纳米,2nm3nm就是2纳米和3纳米,而建2nm3nm厂指的就是建造一座制造2纳米芯片和3纳米芯片的工厂!
2022-07-01 15:57:2426555

台积电2nm3nm制程工艺

台积电首度推出采用GAAFET技术的2nm制程工艺,将于2025年量产,其采用FinFlex技术的3nm制程工艺将于2022年内量产。
2022-07-04 18:13:312636

3nm工艺指的是什么 3nm工艺是极限了吗

3nm工艺是继5nm技术之后的下一个工艺节点,台积电、三星都已经宣布了3nm的研发和量产计划,预计可在2022年实现。
2022-07-07 09:44:0426210

Intel CEO基辛格再度访问台积电,将要就3nm工艺事宜展开会谈

近日,有消息称Intel的CEO基辛格将于8月份再度前往台积电,双方计划就3nm相关事宜展开讨论。 此前intel放出的IDM2.0战略计划图中有着3nm工艺出现,而intel目前并没有独立
2022-07-11 17:26:551298

5nm 112Gbps最新一代SerDes IP时钟设计详解

112Gbps SerDes设计将根据应用情况在各种配置中被采用。下图展示了长距离(LR)、中距离(MR)、极短距离(VSR)和超短距离(XSR)拓扑,其中112G信令路径在每个拓扑中都突出显示。
2022-07-27 15:05:161090

第二代有人用了!台积电最新3nm工艺首颗芯片流片

核是ZeusCORE100,涵盖支持了800G以太网、OIF 112G-CEI, PCIe 6.0和CXL3.0等多项前沿标准,致力于服务下一代数据中心服务器。 回到工艺本身,N3E实际上是台积电的第二代3nm,性能相比
2022-10-27 10:03:561157

Cadence宣布推出性能领先的22Gbps GDDR6 IP并在TSMC N5工艺上通过硅验证

Cadence 致力于扩大我们的 IP 产品组合,以满足客户不断变化的设计要求。客户现在可以信心满满地在 TSMC N5 工艺节点上利用 Cadence GDDR6 设计 IP 实现更高的带宽。
2022-11-22 10:24:51738

台积电3nm制程工艺正式量产 已举行量产及产能扩张仪式

来源:TechWeb 近日,据国外媒体报道,正如此前所报道的一样,晶圆代工商台积电,在他们旗下的晶圆十八厂,举行了3nm制程工艺的量产及产能扩张仪式,宣布3nm制程工艺以可观的良品率成功量产
2022-12-30 17:13:11917

传台积电3nm工艺性价比太低,预计降价

台积电3nm良率传出了一些杂音,称第一代的N3工艺良率不足50%,而且投片量也非常少,只有苹果一家客户。
2023-01-13 11:01:12401

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-03-14 19:21:550

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-03-16 19:25:461

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-03-16 19:26:321

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-03-16 19:31:220

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-03-16 19:32:200

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-03-16 19:32:490

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-03-16 19:33:100

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

Cadence成功流片基于台积电N3E工艺的16G UCIe先进封装 IP

来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于台积电 3nm(N3E)工艺技术的 Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
2023-04-27 16:35:40452

Cadence发布基于台积电N4P工艺112G超长距离SerDes IP

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日发布基于台积电 N4P 工艺112G 超长距离(112G-ELR)SerDes IP,该 IP 适用于超大规模 ASIC
2023-04-28 10:07:36944

Cadence发布面向TSMC 3nm工艺112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELRSerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

台积电的3nm工艺价格为每片19150美元

尽管英特尔的第14代酷睿尚未发布,但第15代酷睿(代号Arrow Lake)已经曝光。新的酷睿系列产品将改为酷睿Ultra系列,并使用台积电的3nm工艺,预计会有显著的性能提升。
2023-06-20 17:48:571100

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

Cadence发布面向TSMC 3nm 工艺112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELRSerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20407

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

Cadence收购Rambus SerDes和存储器接口PHY IP业务

中国上海,2023 年 7 月 28 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,双方已就 Cadence 收购 Rambus SerDes 和存储器接口 PHY
2023-07-28 17:11:51988

70%!台积电3nm按良率收费!

8月8日消息,据外媒报道,台积电新的3nm制造工艺的次品率约为30%,但根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 14:13:40491

苹果拒绝为3nm工艺缺陷买单 台积电3nm按良率收费!

根据外媒报道,据称台积电新的3nm制造工艺的次品率约为30%。不过根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 15:59:27780

台积电3nm月产能明年将增至10万片

台积电推出了世界上第一个3nm智能手机芯片apple a17 pro,该芯片也用于新款iphone 15 pro。据悉,tsmc到2023年为止,将只批量生产苹果的3nm工艺
2023-09-25 14:25:28616

Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

●  112G-ELR SerDesTSMC N3E 制程上的硅结果实现了最佳 PPA ●  多个 Cadence IP 测试芯片在 TSMC N3E 制程上成功流片,包括 PCIe 6.0 和 5.0
2023-09-26 10:10:01320

台积电3nm工艺预计2024年产量达80%

据悉,2024年台积电的第二代3nm工艺(称为N3E)有望得到更广泛运用。此前只有苹果有能力订购第一代N3B高端晶圆。经过解决工艺难题及提升产量后,台积电推出经济实惠的3nm版型,吸引更多企业采用。
2024-01-03 14:15:17279

已全部加载完成