0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

Cadence楷登 来源:Cadence楷登 作者:Cadence楷登 2021-10-26 15:10 次阅读

Cadence 和 TSMC 联手进行 N3 和 N4 工艺技术合作, 加速赋能移动、人工智能和超大规模计算创新

双方共同客户现可广泛使用已经认证的 N3 和 N4 流程 PDK 进行设计

完整、集成化的 RTL-to-GDS 流程,面向 N3 和 N4 工艺技术,旨在达成最佳 PPA 目标

中国上海,2021 年 10 月 22 日—楷登电子(美国 Cadence 公司NASDAQ:CDNS)宣布,其数字和定制/模拟流程已获得 TSMC N3 和 N4 工艺技术认证,支持最新的设计规则手册(DRM)。通过持续合作,Cadence 和 TSMC 发布了 TSMC N3 和 N4工艺相应的工艺设计套件(PDK),以加速移动、人工智能和超大规模计算应用的创新。此外,两家公司的共同客户已经成功流片,验证了 Cadence 流程和 TSMC 工艺技术所带来的优势。

Cadence 数字和定制/模拟先进工艺节点解决方案支持 Cadence 智能系统设计(Intelligent System Design)战略,旨在系统级芯片(SoC)上实现卓越设计,如需了解更多信息,请访问:

www.cadence.com/go/advndtsmc34(复制至浏览器打开或点击文末阅读原文)。

N3 和 N4 工艺的数字流程认证

Cadence 与 TSMC 密切合作,为 TSMC 先进的 N3 和 N4 工艺技术优化数字流程,以帮助客户实现功耗、性能和面积(PPA)目标并加快产品上市。完整、集成的 RTL 到 GDS 流程包括 CadenceInnovus Implementation System 设计实现系统、Liberate Characterization Solution、Quantus Extrction Solution 寄生提取解决方案、Quantus Field Solver、Tempus Timing Sighoff Solution 时序签核解决方案和 ECO Option,以及 Voltus IC Power Integrity Solution。此外,Cadence Genus Synthesis Solution 综合解决方案和预测性的 iSpatial 技术也可用于 N3 和 N4 工艺技术。

该数字全流程使客户能够成功地基于 TSMC 的 N3 和 N4 工艺进行设计,其中包括:

高效处理大型设计库

在多种单元高度、阈值电压和驱动强度中,Cadence 流程有效地处理这些大型库,确保日益复杂的设计能够实现最佳运行时间。

时序分析准确度

N3 技术需要在库单元表征和静态时序分析(STA)期间有额外的准确性。Cadence 流程经过了加强改进,可以解决所有 N3 时序表征和签核的要求。

准确的电源签核

增加了对 N3 工艺要求的精确漏电计算和新 N3 单元的静态功耗计算的支持。N3 功耗计算的准确度包括不同的功耗成份,例如开关功耗、内部功耗和泄漏功耗,已经在多个工作工艺环境、温度和电压下得到验证。Cadence 流程符合所有 N3 电源签核的要求。

N3 和 N4 工艺的定制化/模拟工具套件认证

Cadence 持续与 TSMC 工程师的长期合作,提供全面的定制 IC、模拟、EM-IR 和混合信号设计解决方案,以解决在 TSMC N3 和 N4 工艺中,设计定制和模拟 IP 时遇到的挑战和复杂问题。通过这次合作,Cadence Virtuoso Design Platform、Spectre Simulation Platform 和 Voltus-Fi Custom Power Integrity Solution 已经达到了最新的 TSMC N3 和 N4 工艺的 PDK 要求。

N3 和 N4 工艺技术的定制 IC 设计流程包括以下设计解决方案:

Spectre Simulation Platform

提供全面的时域和频域分析能力,包括交流、直流和瞬态仿真,重点是利用 Voltus-Fi Custom Power Integrity Solution 管理大型器件和互连寄生网络、谐波平衡、噪声分析和 EM-IR。

Virtuoso Schematic Editor

提供设计捕捉,并驱动 Virtuoso Layout Suite,实现原理图驱动的版图设计。

Virtuoso ADE Suite

与 Spectre X Simulator 集成,有效的管理环境仿真、统计分析、设计中心化和电路优化。

Virtuoso Layout Suite EXL

为高效的版图实现提供了先进的版图环境,利用交互式的、辅助的性能,提升了独特的基于行的实现方法,用于布局、布线、Filler 和 Dummy 的插入。

混合信号实现流程

在 Virtuoso Design Platform 和 Innovus Implementation System 之间紧密集成,通过一个共同的混合信号开放数据库,为混合信号设计提供更强大的实现方法学,提高工程生产力。

此外,Virtuoso 和 Spectre 平台均已获得 TSMC N3 和 N4 工艺技术的认证。

“通过与 Cadence 的持续合作,我们的客户能够利用经认证的流程为我们先进的 N3 和 N4 工艺技术提高生产力。”TSMC 设计基础管理副总裁 Suk Lee 说,“TSMC 和 Cadence 的共同努力,将帮助新一代移动、人工智能和超大规模计算应用的客户,轻松地实现 PPA 目标并快速将差异化产品推向市场。”

“通过与 TSMC 的紧密合作,利用 TSMC 的 N3 和 N4 工艺技术以及我们的数字工具流程和定制/模拟流程方案,我们的客户可以获得最先进的技术和能力,打造极具竞争力的设计。”Cadence 公司资深副总裁兼数字与签核事业部总经理滕晋庆 Chin-Chi Teng 博士表示,“我们不断汲取共同客户的意见,以了解他们的实际设计要求,他们的反馈使我们能够相应地调整我们的流程,进而帮助他们实现卓越的系统级芯片设计。”

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • TSMC
    +关注

    关注

    3

    文章

    176

    浏览量

    84008
  • 工艺
    +关注

    关注

    3

    文章

    539

    浏览量

    28574
  • 电压
    +关注

    关注

    45

    文章

    5074

    浏览量

    114382

原文标题:Cadence数字和定制/模拟流程获TSMC最新N3和N4工艺认证

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    stm32 gpio直接驱动数码管做动态扫描没有反应是哪里的问题?

    ); void Seg_DynamicScan(uint8_t n1,uint8_t n2,uint8_t n3,uint8_t n4); int main(void) { uin
    发表于 03-27 08:09

    Cadence数字和定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字和定制/模拟流程在Intel的18A工艺技术上成功通过认证。这一里程碑式的成就意味着Cadence的设计IP将
    的头像 发表于 02-27 14:02 193次阅读

    MEMS封装中的封帽工艺技术

    密性等。本文介绍了五种用于MEMS封装的封帽工艺技术,即平行缝焊、钎焊、激光焊接、超声焊接和胶粘封帽。总结了不同封帽工艺的特点以及不同MEMS器件对封帽工艺的选择。本文还介绍了几种常用的吸附剂类型,针对吸附剂易于饱和问题,给出了
    的头像 发表于 02-25 08:39 277次阅读
    MEMS封装中的封帽<b class='flag-5'>工艺技术</b>

    电子产品装联工艺技术详解

    电子产品装联工艺技术详解
    的头像 发表于 10-27 15:28 445次阅读
    <b class='flag-5'>电子</b>产品装联<b class='flag-5'>工艺技术</b>详解

    新思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证数字模拟设计流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证数字模拟
    发表于 10-19 11:44 122次阅读

    Cadence 数字和定制/模拟设计流程TSMC 最新 N2 工艺认证

    和移动 IC 中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字和定制/模拟流程已通
    的头像 发表于 10-10 16:05 296次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程由 Cadence 和 TSMC
    的头像 发表于 09-27 10:10 339次阅读

    2006电子元器件搪锡工艺技术要求

    2006电子元器件搪锡工艺技术要求
    发表于 08-23 16:48 3次下载

    Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Caden
    的头像 发表于 07-14 12:50 402次阅读
    Cadence <b class='flag-5'>数字</b>、定制/<b class='flag-5'>模拟</b>设计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence数字和定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●Cadence 定制/模拟工具
    的头像 发表于 07-05 10:12 403次阅读

    Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ● Cadence 定制/模拟
    的头像 发表于 07-05 10:10 342次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术模拟IP自动迁移

    先进节点经过优化 中国上海, 2023 年 7 月 4 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,搭载最新生成式 AI 技术的 Cadence  Virtuoso
    的头像 发表于 07-04 10:10 502次阅读

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和
    的头像 发表于 05-09 10:09 733次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence 和台积电共同开发,旨在实现定制和模拟 IC 设计在台积电工艺技术之间的自动迁移。与人工迁移相比,
    的头像 发表于 05-06 15:02 845次阅读

    倒装芯片球栅阵列工艺流程技术

    目前,FC-BGA 都是在C4 的设计基础上,再进行封装与工艺技术的设计与研发的。
    的头像 发表于 04-28 15:09 845次阅读
    倒装芯片球栅阵列<b class='flag-5'>工艺流程</b>与<b class='flag-5'>技术</b>