0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence成功流片基于台积电N3E工艺的16G UCIe先进封装 IP

半导体芯科技SiSC 来源: 半导体芯科技SiSC 作者: 半导体芯科技Si 2023-04-27 16:35 次阅读

来源:Cadence楷登

2023年4月26日,楷登电子近日宣布基于台积电 3nm(N3E)工艺技术的 Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用台积电 3DFabric™ CoWoS-S 硅中介层技术实现,可提供超高的带宽密度、高效的低功耗性能和卓越的低延迟,非常适合需要极高算力的应用。Cadence UCIe IP 为Chiplet裸片到裸片通信提供了开放标准,随着人工智能/机器学习AI/ML)、移动、汽车、存储和网络应用推动从单片集成向系统级封装(SiP)Chiplet 的转变,Chiplet 裸片到裸片通信变得越来越重要。

Cadence 目前正与许多客户合作,来自 N3E 测试芯片流片的 UCIe 先进封装 IP 已开始发货并可供使用。这个预先验证的解决方案可以实现快速集成,为客户节省时间和精力。

Cadence UCIe PHY 和控制器的异构集成简化了 Chiplet 解决方案,具有裸片可重复使用性。完整的解决方案包括以下方面,可带 Cadence 验证 IP(VIP)和 TLM 模型交付:

UCIe 先进封装 PHY
UCIe 先进封装 PHY 专为支持 5Tbps/mm 以上 Die 边缘带宽密度而设计,能在显著提高能效的同时实现更高的吞吐量性能,可灵活集成到多种类型的 2.5D 先进封装中,例如硅中介层、硅桥、RDL 和扇出型封装。

UCIe 标准封装 PHY
助力客户降低成本,同时保持高带宽和高能效。Cadence 的电路设计使客户可以在该标准的 Bump pitch范围下限内进行设计,从而最大程度提高每毫米带宽,同时还能实现更长的覆盖范围。

UCIe 控制器
UCIe 控制器是一种软 IP 核,可以在多个技术节点进行综合,针对不同的目标应用提供多种选项,支持流、PCI Express® (PCIe®) 和 CXL 协议。

“UCIe 联盟支持各公司设计用于标准和先进封装的Chiplet。我们非常高兴地祝贺 Cadence 实现先进封装测试芯片的流片里程碑,该芯片使用基于 UCIe 1.0 规范的 die-to-die 互连,”UCIe 联盟主席 Debendra Das Sharma 博士说道,“成员公司在 IP(扩展)和 VIP(测试)方面的进展是该生态系统中的重要组成部分。再加上 UCIe 工作组的成果,业界将继续看到基于开放行业标准的新 Chiplet 设计进入市场,促进互操作性、兼容性和创新。”

Cadence 一直是 Chiplet 系统解决方案产品领域的先驱,并将继续突破先进节点和封装架构中各种多 Chiplet 应用的性能和能效极限,”Cadence 公司全球副总裁兼 IP 事业部总经理 Sanjive Agarwala 说道,“我们认为,协调整个行业的互连标准十分重要,而 UCIe IP 可作为桥梁,为大型系统级芯片提供开放式 Chiplet 解决方案,达到或超过制造的最大光罩极限。基于台积电 N3E 工艺的 UCIe 先进封装流片是为客户提供开放式 Chiplet 连接标准的关键里程碑和承诺。”

Cadence 16G UCIe™ 2.5D 先进封装 IP 支持 Cadence 的智能系统设计(Intelligent System Design™)战略,该战略可实现 SoC 的卓越设计。

wKgaomRKM9yAY4aeAAB81GpTXqM844.jpg

苏州会议

雅时国际(ACT International)将于2023年5月,在苏州组织举办主题为“2023-半导体先进技术创新发展和机遇大会”。会议包括两个专题半导体制造与封装、化合物半导体先进技术及应用。分别以“CHIP China晶芯研讨会”和“化合物半导体先进技术及应用大会”两场论坛的形式同时进行。详情点击链接查看:https://w.lwc.cn/s/7jmaMn

审核编辑黄宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5278

    浏览量

    164800
  • 封装
    +关注

    关注

    124

    文章

    7279

    浏览量

    141100
  • IP
    IP
    +关注

    关注

    5

    文章

    1404

    浏览量

    148296
  • 流片
    +关注

    关注

    0

    文章

    22

    浏览量

    9689
  • chiplet
    +关注

    关注

    6

    文章

    379

    浏览量

    12418
  • 先进封装
    +关注

    关注

    0

    文章

    270

    浏览量

    90
收藏 人收藏

    评论

    相关推荐

    新思科技与英特尔在UCIe互操作性测试进展

    英特尔的测试芯片Pike Creek由基于Intel 3技术制造的英特尔UCIe IP小芯片组成。它与采用台积电公司N3工艺制造的新思科技UCIe
    的头像 发表于 04-18 14:22 152次阅读

    # #冷战 张忠谋回母校演讲称:应避免冷战

    行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年10月26日 17:17:08

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程由 Cadence 和 TSMC 共同开发,旨在实现定制和模拟 IC 设
    的头像 发表于 09-27 10:10 347次阅读

    Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

    ●  112G-ELR SerDes 在 TSMC N3E 制程上的硅结果实现了最佳 PPA ●  多个 Cadence IP 测试芯片在 TSMC N3E 制程上
    的头像 发表于 09-26 10:10 367次阅读

    新思科技IP成功在台积公司3nm工艺实现流片

    基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
    的头像 发表于 08-24 17:37 694次阅读

    Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    内容提要 ●  Cadence 流程已通过认证,可立即投入生产,该工艺下 Design IP 产品现已完备,可支持客户进行 Intel 16 工艺
    的头像 发表于 07-14 12:50 409次阅读
    <b class='flag-5'>Cadence</b> 数字、定制/模拟设计流程通过认证,Design <b class='flag-5'>IP</b> 现已支持 Intel <b class='flag-5'>16</b> FinFET 制程

    Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP
    的头像 发表于 07-10 09:26 441次阅读

    新思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP
    发表于 06-30 13:40 357次阅读

    Multi-Die系统设计里程碑:UCIe PHY IP在台积公司N3E工艺成功流片

    新思科技一直与台积公司保持合作,利用台积公司先进的FinFET工艺提供高质量的IP。近日,新思科技宣布在台积公司的N3E工艺
    的头像 发表于 05-25 06:05 498次阅读

    Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP
    发表于 05-19 16:25 807次阅读
    <b class='flag-5'>Cadence</b> 发布面向 TSMC 3nm <b class='flag-5'>工艺</b>的 112G-ELR SerDes <b class='flag-5'>IP</b> 展示

    Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP
    的头像 发表于 05-19 15:23 705次阅读
    <b class='flag-5'>Cadence</b>发布面向TSMC 3nm<b class='flag-5'>工艺</b>的112G-ELR SerDes <b class='flag-5'>IP</b>展示

    西门子EDA Calibre 平台获台积电先进N3E和N2工艺认证

    西门子EDA Calibre 平台获台积电先进N3E和N2工艺认证 作为台积电的长期合作伙伴西门子EDA一直在加强对台积电最新制程的支持 ,根据西门子EDA透露的消息显示,sign-off 物理验证
    的头像 发表于 05-11 18:25 1921次阅读

    MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

    %。西安二厂预计将生产13.5万,比之前的14.5万减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【
    发表于 05-10 10:54

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进
    的头像 发表于 05-09 10:09 747次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence 和台积电共同开发,旨在实现定制和模拟 IC 设计在台积电工艺技术之间的自动迁移。与人工迁移相比,
    的头像 发表于 05-06 15:02 853次阅读