电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>接口/总线/驱动>AXI VIP设计示例 AXI接口传输分析

AXI VIP设计示例 AXI接口传输分析

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Zynq中AXI4-Lite和AXI-Stream功能介绍

Zynq中AXI4-Lite功能 AXI4-Lite接口AXI4的子集,专用于和元器件内的控制寄存器进行通信。AXI-Lite允许构建简单的元件接口。这个接口规模较小,对设计和验证方面的要求更少
2020-09-27 11:33:028050

Xilinx zynq AXI总线全面解读

,是面向地址映射的接口,允许最大256轮的数据突发传输; (B)AXI4-Lite:(For simple, low-throughput memory-mapped communication )是一个轻量级的地址映射单次传输接口,占用很少的逻辑单元。 (C)AXI4-Stream:(F
2020-12-04 12:22:446179

基于AXI总线的加法器模块解决方案

前面一节我们学会了创建基于AXI总线的IP,但是对于AXI协议各信号的时序还不太了解。这个实验就是通过SDK和Vivado联合调试观察AXI总线的信号。由于我们创建的接口是基于AXI_Lite协议
2020-12-23 15:32:372169

ZYNQ SOC案例开发:AXI DMA使用解析及环路测试

一、AXI DMA介绍 本篇博文讲述AXI DMA的一些使用总结,硬件IP子系统搭建与SDK C代码封装参考米联客ZYNQ教程。若想让ZYNQ的PS与PL两部分高速数据传输,需要利用PS的HP
2020-12-31 09:52:027165

如何将AXI VIP添加到Vivado工程中

在这篇新博文中,我们来聊一聊如何将 AXI VIP 添加到 Vivado 工程中,并对 AXI4-Lite 接口进行仿真。随后,我们将在仿真波形窗口中讲解用于AXI4-Lite 传输事务的信号。
2022-07-08 09:27:141660

如何使用AXI VIPAXI4(Full)主接口中执行验证和查找错误

AXI 基础第 2 讲 一文中,曾提到赛灵思 Verification IP (AXI VIP) 可用作为 AXI 协议检查工具。在本次第4讲中,我们将来了解下如何使用它在 AXI4 (Full) 主接口中执行验证(和查找错误)。
2022-07-08 09:31:381944

创建AXI Sniffer IP以在Vivado IP Integrator中使用教程

在某些情况下,通过嗅探 AXI 接口分析其中正在发生的传输事务是很有用的。在本文中,我将为大家演示如何创建基本 AXI4-Lite Sniffer IP 以对特定地址上正在发生的读写传输事务进行计数。
2022-07-08 09:35:34775

Zynq MPSoC系列器件的AXI总线介绍

MPSoC有六个PL侧高性能(HP)AXI接口连接到PS侧的FPD(PL-FPD AXI Masters),可以访问PS侧的所有从设备。这些高带宽的接口主要用于访问DDR内存。有四个HP AXI
2022-07-22 09:25:242501

AXI总线协议的几种时序介绍

由于ZYNQ架构和常用接口IP核经常出现 AXI协议,赛灵思的协议手册讲解时序比较分散。所以笔者收藏AXI协议的几种时序,方便编程。
2022-08-02 12:42:176661

AXI VIP当作master时如何使用

  AXI接口虽然经常使用,很多同学可能并不清楚Vivado里面也集成了AXI的Verification IP,可以当做AXI的master、pass through和slave,本次内容我们看下
2023-07-27 09:19:33633

Xilinx FPGA IP之Block Memory Generator AXI接口说明

之前的文章对Block Memory Generator的原生接口做了说明和仿真,本文对AXI接口进行说明。
2023-11-14 18:25:10685

XILINX FPGA IP之AXI Traffic Generator

AXI Traffic Generator IP 用于在AXI4和AXI4-Stream互连以及其他AXI4系统外设上生成特定序列(流量)。它根据IP的编程和选择的操作模式生成各种类型的AXI事务。是一个比较好用的AXI4协议测试源或者AXI外设的初始化配置接口
2023-11-23 16:03:45580

AMBA总线之AXI设计的关键问题讲解

首先我们看一下针对AXI接口的IP设计,在介绍之前我们先回顾一下AXI所具有的一些feature。
2024-02-20 17:12:56518

AXI FIFO和AXI virtual FIFO这两个IP的使用方法

AXI Stream FIFO传输的数据TX Stream Control Data – 此接口支持 AXI 以太网 IP 内核的传输协议AXI Lite – 用于访问配置寄存器和数据 Tx 和 Rx
2022-11-04 11:03:18

AXI-stream数据传输过程

  AXI4-Stream跟AXI4的区别在于AXI4-Stream没有ADDR接口,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时,允许无限制的数据突发传输规模
2021-01-08 16:52:32

AXI4-lite端口可以保持未连接状态吗?

我必须通过AXI4-lite接口配置Jesd204b核心,或者我可以简单地将AXI4-lite端口保持未连接状态(强制接地)?Jesd204核心示例top没有提供有关AXI4-Lite端口配置的指导。
2020-05-15 09:30:54

AXI4S接口视频协议在视频IP中的应用总结

应该与最低有效像素位置对齐。同样,如果每个器件只有8位通过为每个器件产生的10位接口传输,则有效位应MSB对齐,LSB用零填充。Figure 1-6 和 Figure 1-9中显示了三个示例。重要提示
2022-11-14 15:15:13

AXI接口协议详解

4:(For high-performance memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输AXI
2022-04-08 10:45:31

AXI接口协议详解

突发传输;  AXI4-Lite:(For simple, low-throughput memory-mapped communication)是一个轻量级的地址映射单次传输接口,占用很少的逻辑单元
2022-10-14 15:31:40

AXI总线的相关资料下载

AXI总线学习AXI协议的主要特征主要结构通道定义读写地址通道读数据通道写数据通道写操作回应信号接口和互联寄存器片基本传输Read burstOverlapping read burstWrite
2022-02-09 07:17:23

AMBA AXI协议指南

。 •适用于具有高初始访问延迟的内存控制器。 •提供了实现互连架构的灵活性。 •向后兼容AHB和APB接口AXI协议的主要特点是: •独立的地址/控制和数据阶段。 •支持使用字节选通进行未对齐的数据传输
2023-08-02 09:44:08

AMBA3.0 AXI总线接口协议的研究与应用

本文介绍了AMBA3.0AXI的结构和特点,分析了新的AMBA3.0AXI协议相对于AMBA2.0的优点。它将革新未来高性能SOC总线互连技术,其特点使它更加适合未来的高性能、低延迟设计。最后介绍了基于AXI协议的设计实例,探讨了利用IP复用技术和DesginWareIP搭建基于AXI协议的SOC系统。
2023-09-20 08:30:25

ARM系列 -- AXI协议资料汇总(一)

为主。AXI 的全称是 Advanced eXtensible Interface。在 spec 里面是这么自夸的:用于高带宽和低延迟设计。提供高频操作,无需使用复杂电桥。协议满足各种组件的接口要求
2022-04-08 09:34:43

MIO/EMIO/AXI_GPIO接口详解

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2021-02-02 07:30:07

XADC和AXI4Lite接口:定制AXI引脚

你好,我有一个关于XADC及其AXI4Lite接口输入的问题。我想在Microzed 7020主板上测试XADC,在通过AXI4Lite接口将Zynq PL连接到XADC向导(参见第一个附件)之后
2018-11-01 16:07:36

ZYNQ & AXI总线 & PS与PL内部通信(用户自定义IP)

memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输AXI4-Lite:(For simple
2018-01-08 15:44:39

ZYNQ的ARM和FPGA数据交互——AXI交互最重要的细节

大部分器件的接口要求,提供互联架构的灵活性与独立性。 (1)AXI总线 总线是一组传输通道,是各种逻辑器件构成的传输数据的通道,一般由数据线、地址线、控制线等构成。在ZYNQ中支持三种AXI总线
2023-11-03 10:51:39

vivado hls axi接口问题

你好!如果我想使用vivado hls来合成具有axi接口的代码,是否有必须遵循的标准编码风格?
2020-04-21 10:23:47

【fpga仿真辅助工具】AXI总线性能监测&分析工具——varon

数据传输量,突发长度,延迟,和总线事务。它有助于用户在设计初期对AXI总线的瓶颈进行分析。 VARONIP包括可配置的主站或从站IP,提供可合成的FPGA IP。这使得将AXI总线作为硬件实现起来很容易
2020-11-02 16:54:39

在开源的E203的AXI总线支持burst传输吗?

请问在开源的E203的AXI总线支持burst传输吗?在sirv_gnrl_icb2axi.v模块中看到了 请问如何使用呢?相应的在NucleiStudio中的代码中需要做什么修改呢?有大佬指点一下想要使用AXI做burst传输具体需要做那些步骤呢?
2023-08-12 06:13:08

如何使用AXI配置的ILA调试PCIe AXI接口

嗨,大家好,我目前正在创建一个PCIe接口卡,我正处于项目的调试阶段。我试图监视用户_clkrate的AXI突发。关于ILA核心和PCIe端点(在VC709上)我有一些问题。1.当我尝试将
2019-09-25 09:26:14

如何使用Xilinx AXI VIP对自己的设计搭建仿真验证环境的方法

使用Vivado生成AXI VIPAXI Verification IP)来对自己设计的AXI接口模块进行全方位的验证(如使用VIP的Master、Passthrough、Slave三种模式对自己写的AXI
2022-10-09 16:08:45

如何手动设置读/写使用AXI总线注册测试接口代码?

我有一个simpleregister读/写/重置测试接口代码(在VHDL中),我想与我的顶级处理系统7wrapper代码链接。我想使用AXI总线协议对寄存器进行读/写/复位。实际上,我的测试接口
2019-09-09 10:03:44

如何用zedboard创建一个AXI接口应用程序?

大家好,我正在使用zedboard创建一个AXI接口应用程序,以突发模式从ARM发送64字节数据到FPGA。为此,我在vivado中创建了一个自定义AXI从站,选择它作为AXI FULL(因为AXI
2020-08-12 10:37:46

学习架构-AMBA AXI简介

本指南介绍了高级微控制器总线体系结构(AMBA)AXI的主要功能。 该指南解释了帮助您实现AXI协议的关键概念和细节。 在本指南中,我们介绍: •AMBA是什么。 •为什么AMBA在现代SoC设计中
2023-08-09 07:37:45

玩转Zynq连载34——[ex54] 基于Zynq的AXI GP总线的从机接口设计

PuTTY,设定好串口号和波特率115200,可以看到不断的打印如下的信息。若希望使用在线逻辑分析仪对AXI GP总线接口波形进行观察,可以参考文档《玩转Zynq-工具篇:基于Vivado的在线逻辑
2019-11-12 10:23:42

请问S_AXI端口是否遵循AXI_Lite协议?

嗨,我在Vivado 2016.3模块设计中集成了PCIe DMA BAR0 AXI Lite接口AXI IIC IP。在DMA IP端,它显示S_AXI_Lite端口,但在AXI_IIC IP端
2020-05-14 09:09:35

高级可扩展接口AXI)简介

本文将讨论AMBA的第三次修订版,该修订版向世界介绍了高级可扩展接口AXI)协议。AXI协议最初是为高频系统而设计的,旨在满足各种组件的接口要求,同时允许灵活地互连这些组件。适用于高频,低延迟
2020-09-28 10:14:14

AXI参考指南(英文资料)

AXI Reference Guide (AXI).pdf
2012-12-23 16:41:3655

AMBA AXI总线学习笔记

AMBA AXI 总线学习笔记,非常详细的AXI总线操作说明
2015-11-11 16:49:3311

Adam Taylor玩转MicroZed系列67:AXI DMA II

streaming接口。Vivado工具的AXI参考手册(用户手册1037)对我们是非常有帮助的,提供了关于Zynq SoC的AXI协议的详细信息,为了构建硬件我们将使用如下AXI协议
2017-02-08 08:10:39286

从应用角度详解什么是AXI

本节介绍的AXI是个什么东西呢,它其实不属于Zynq,不属于Xilinx,而是属于ARM。它是ARM最新的总线接口,以前叫做AMBA,从3.0以后就称为AXI了。 书上讲的AXI比较具体,本节呢不打算落入俗套,从应用角度解释AXI
2018-07-13 07:08:0010226

zynq linux AXI DMA传输步骤教程详解

本文主要介绍zynq linux AXI DMA传输步骤教程,具体的跟随小编一起来了解一下。
2018-07-08 05:46:0029549

AXI接口简介_AXI IP核的创建流程及读写逻辑分析

本文包含两部分内容:1)AXI接口简介;2)AXI IP核的创建流程及读写逻辑分析。 1AXI简介(本部分内容参考官网资料翻译) 自定义IP核是Zynq学习与开发中的难点,AXI IP核又是十分常用
2018-06-29 09:33:0014957

AXI 总线和引脚的介绍

1、AXI 总线通道,总线和引脚的介绍 AXI接口具有五个独立的通道: (1)写地址通道(AW):write address channel (2)写数据通道( W): write data
2018-01-05 08:13:479601

如何使用Xilinx AXI进行验证和调试

了解如何使用Xilinx AXI验证IP有效验证和调试AXI接口。 该视频回顾了使用的好处,以及如何使用示例设计进行模拟。
2018-11-20 06:38:003561

AXI接口设计的三个要点

AXI2MEM转换接口需要将来自PCIE的AXI信号(时钟为250MHz或者500MHz)转换成100MHz时钟的MEM接口。MEM接口用于SOC总线主端口,用于读写芯片内部模块或者配置寄存器。
2019-05-12 09:19:312243

AXI总线协议的几种时序介绍

由于ZYNQ架构和常用接口IP核经常出现 AXI协议,赛灵思的协议手册讲解时序比较分散。所以笔者收藏AXI协议的几种时序,方便编程。
2019-05-12 09:10:3310860

浅析三种AXI接口的特点

如何设计高效的 PL 和 PS 数据交互通路是 ZYNQ 芯片设计的重中之重。AXI 全称 Advanced eXtensible Interface,是 Xilinx 从 6 系列的 FPGA 开始引入的一个接口协议,主要描述了主设备和从设备之间的数据传输方式。
2020-03-15 17:04:0010317

如何创建基本AXI4-Lite Sniffer IP以对特定地址上正在发生的读写传输事务进行计数

这将创建一个附带 BD 的 Vivado 工程,此 BD 包含 AXI VIP (设置为 AXI4-Lite 主接口) 和 AXI GPIO IP。这与我们在 AXI 基础第 3 讲一文 中完成的最终设计十分相似。
2020-04-30 16:24:502068

AXI-4 Lite接口协议仿真波形解析

AXI-4 Lite可以看作是AXI-4 Memory Mapped的子集,从下面的示例图中就可见一斑。最直接的体现是AXI-4 Lite的突发长度是固定值1。
2020-09-23 11:18:063113

AXI4接口协议的基础知识

AXI-4 Memory Mapped也被称之为AXI-4 Full,它是AXI4接口协议的基础,其他AXI4接口是该接口的变形。总体而言,AXI-4 Memory Mapped由五个通道构成,如下图所示:写地址通道、写数据通道、写响应通道、读地址通道和读数据通道。
2020-09-23 11:20:235453

AXI接口协议的类型和特征

AXI全称Advanced eXtensibleInterface,是Xilinx从6系列的FPGA开始引入的一种接口协议,主要描述了主设备和从设备之间的数据传输方式。
2020-09-23 11:22:414128

一文详解ZYNQ中的DMA与AXI4总线

在ZYNQ中,支持AXI-Lite,AXI4和AXI-Stream三种总线,但PS与PL之间的接口却只支持前两种,AXI-Stream只能在PL中实现,不能直接和PS相连,必须通过AXI
2020-09-24 09:50:304289

FPGA程序设计:如何封装AXI_SLAVE接口IP

M_AXI接口对数据进行读取操作,此时设计一个基于AXI-Slave接口的IP进行数据传输操作就非常的方便。 封装的形式并不复杂,只是略微繁琐,接下来一步一步演示如何封装AXI_SLAVE接口IP
2020-10-30 12:32:373953

如何创建AXI CDMA Linux用户空间示例应用

本篇博文将为您演示如何创建 AXI CDMA Linux 用户空间示例应用。 示例设计将在 Zynq UltraScale+ RFSoC ZCU111 评估板上实现通过 AXI CDMA 把数据
2020-09-28 15:31:062259

何谓 AXI?关于AXI3/AXI4的相关基础知识

引言 近来,几乎每个赛灵思 IP 都使用 AXI 接口。Zynq、Zynq MP、MicroBlaze 和全新的 Versal 处理器都无一例外使用 AXI 接口。因此,AXI 接口已成为几乎所有
2020-09-27 11:06:455857

高级可扩展接口AXI)简介

本文将讨论AMBA的第三次修订版,该修订版向世界介绍了高级可扩展接口AXI)协议。AXI协议最初是为高频系统而设计的,旨在满足各种组件的接口要求,同时允许灵活地互连这些组件。适用于高频,低延迟
2020-09-29 11:44:225425

你必须了解的AXI总线详解

DMA的总结 ZYNQ中不同应用的DMA 几个常用的 AXI 接口 IP 的功能(上面已经提到): AXI-DMA:实现从 PS 内存到 PL 高速传输高速通道 AXI-HP----AXI
2020-10-09 18:05:576391

ZYNQ中DMA与AXI4总线

接口的构架 在ZYNQ中,支持AXI-Lite,AXI4和AXI-Stream三种总线,但PS与PL之间的接口却只支持前两种,AXI-Stream只能在PL中实现,不能直接和PS相连,必须通过
2020-11-02 11:27:513880

AXI-Stream代码

AXI-Stream代码详解 AXI4-Stream跟AXI4的区别在于AXI4-Stream没有ADDR接口,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时,允许无限制的数据
2020-11-05 17:40:362826

ZYNQ-7000系列MIO、EMIO、AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2022-07-25 17:41:582046

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2021-01-31 06:50:0412

Xilinx AXI Interconnect

在 AMBA 系列之 AXI 总线协议初探 中,了解到 AXI 总线交互分为 Master / Slave 两端,而且标准的 AXI 总线支持不同的位宽,既然是总线,那么必须要支持总线互联,多 Master,多 Slave的场景
2021-02-23 06:57:0045

AXI总线知识详解解析

AXI是个什么东西呢,它其实不属于Zynq,不属于Xilinx,而是属于ARM。它是ARM最新的总线接口,以前叫做AMBA,从3.0以后就称为AXI了。
2021-04-09 17:10:104970

AMBA 3.0 AXI总线接口协议的研究与应用

本文介绍了AMBA 3.0 AXI的结构和特点,分析了新的AMBA 3.0 AXI协议相对于AMBA 2. 0的优点。它将革新未来高性能SOC总线互连技术,其特点使它更加适合未来的高性能、低延迟
2021-04-12 15:47:3928

全面介绍ZYNQ-AXI互联IP

,它使用通用的AXI4接口在系统中移动或转换数据,而不解释数据。 这些基础的IP各自有自己的常用的功能,下面列举出一部分AXI接口的基础构架IP。 ° AXI Register slices
2021-05-11 14:52:555612

AXI总线学习(AXI3&4)

AXI总线学习AXI协议的主要特征主要结构通道定义读写地址通道读数据通道写数据通道写操作回应信号接口和互联寄存器片基本传输Read burstOverlapping read burstWrite
2021-12-05 16:21:035

串口转axi主机总线接口

uart2axi_master_intf程序源码:/**************************************************** Module Name
2021-12-28 20:04:4214

AXI_GP接口AXI_HP接口的相关内容

学习关于ZYNQ IP核中的GP接口和HP接口的异同,介绍关于AXI_GP接口AXI_HP接口的相关内容。
2022-07-03 14:17:341880

AXI4 、 AXI4-Lite 、AXI4-Stream接口

AXI4 是一种高性能memory-mapped总线,AXI4-Lite是一只简单的、低通量的memory-mapped 总线,而 AXI4-Stream 可以传输高速数据流。从字面意思去理解
2022-07-04 09:40:145818

AXI_GPIO简介与使用指南

前面简单学习了关于GPIO的操作,本次将使用PL 端调用 AXI GPIO IP 核, 并通过 AXI4-Lite 接口实现 PS 与 PL 中 AXI GPIO 模块的通信。
2022-07-19 17:36:523228

AXI通道定义及AXI总线信号描述

本文主要介绍了AXI通道以及在每个通道下信号的概述。
2022-08-04 10:49:179635

AXI VIP 中产生传输事务的基本方法

本系列我想深入探寻 AXI4 总线。不过事情总是这样,不能我说想深入就深入。当前我对 AXI总线的理解尚谈不上深入。但我希望通过一系列文章,让读者能和我一起深入探寻 AXI4。
2022-08-29 14:58:441272

使用AXI VIP的几个关键步骤及常见功能

使用Vivado生成AXI VIPAXI Verification IP)来对自己设计的AXI接口模块进行全方位的验证(如使用VIP的Master、Passthrough、Slave三种模式对自己写的AXI
2022-10-08 16:07:113846

使用AXI4S接口的视频IP细节介绍

AXI4S携带实际的视频数据(无行场消隐),由主机和从机接口驱动,如Figure 1-1所示。
2022-11-14 09:15:25815

一些高质量的AMBA(APB/AHB/AXI) VIP分享

关于VIP的好处,估计就不用我安利了,引用最近S家的一句广告语,“拥有VIP,无惧芯片设计挑战”。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。
2022-12-06 14:58:041076

AXI3与AXI4写响应的依赖区别​

上面两图的区别是相比AXI3,AXI4协议需要确认AWVALID、AWREADY握手完成才能回复BVALID。为什么呢?
2023-03-30 09:59:49668

AXI如何用于连接互连组件呢?

AXI 是一种接口规范,它定义了 IP 块的接口,而不是互连本身。
2023-05-04 09:27:39703

深入剖析AXI的协议与架构(下)

之前文章为大家介绍了AXI的协议与架构,本篇我们接着往下讲AXI的读写传输 内容概括
2023-05-04 14:41:271423

AXI channels介绍

AXI 规范描述了两个接口之间的点对点协议:manager and subordinate接口
2023-05-05 11:42:40462

FPGA AXI4协议学习笔记(二)

上文FPGA IP之AXI4协议1_协议构架对协议框架进行了说明,本文对AXI4接口的信号进行说明。
2023-05-24 15:05:46842

FPGA AXI4协议学习笔记(三)

上文FPGA IP之AXI4协议1_信号说明把AXI协议5个通道的接口信息做了说明,本文对上文说的信号进行详细说明。
2023-05-24 15:06:41669

简单讲解AXI Interconnect IP核的使用方法

最近需要用到AXI接口的模块,xilinx的IP核很多都用到了AXI总线进行数据和指令传输。如果有多个设备需要使用AXI协议对AXI接口的BRAM进行读写,总线之间该如何进行仲裁,通信?
2023-06-19 15:45:144243

Xilinx FPGA AXI4总线(一)介绍【AXI4】【AXI4-Lite】【AXI-Stream】

从 FPGA 应用角度看看 AMBA 总线中的 AXI4 总线。
2023-06-21 15:21:441729

自定义AXI-Lite接口的IP及源码分析

在 Vivado 中自定义 AXI4-Lite 接口的 IP,实现一个简单的 LED 控制功能,并将其挂载到 AXI Interconnect 总线互联结构上,通过 ZYNQ 主机控制,后面对 Xilinx 提供的整个 AXI4-Lite 源码进行分析
2023-06-25 16:31:251913

AXI实战(二)-AXI-Lite的Slave实现介绍

可以看到,在AXI到UART中,是通过寄存器和FIFO进行中介的。因为从AXI总线往里看,其控制的是就是地址上所映射的寄存器。
2023-06-27 10:12:532229

Zynq UltraScale+RFSoC的AXI CDMA Linux用户空间示例

本文将为您演示如何创建 AXI CDMA Linux 用户空间示例应用
2023-07-07 14:15:03533

AXI VIP当作master时如何使用?

AXI接口虽然经常使用,很多同学可能并不清楚Vivado里面也集成了AXI的Verification IP,可以当做AXI的master、pass through和slave,本次内容我们看下AXI VIP当作master时如何使用。
2023-07-27 09:16:13792

基于AXI总线的DDR3读写测试

本文开源一个FPGA项目:基于AXI总线的DDR3读写。之前的一篇文章介绍了DDR3简单用户接口的读写方式:《DDR3读写测试》,如果在某些项目中,我们需要把DDR挂载到AXI总线上,那就要通过MIG IP核提供的AXI接口来读写DDR。
2023-09-01 16:20:371896

LogiCORE IP AXI4-Stream FIFO内核解决方案

LogiCORE IP AXI4-Stream FIFO内核允许以内存映射方式访问一个AXI4-Stream接口。该内核可用于与AXI4-Stream IP接口,类似于LogiCORE IP AXI以太网内核,而无需使用完整的DMA解决方案。
2023-09-25 10:55:33497

什么是AXIAXI如何工作?

Xilinx 从 Spartan-6 和 Virtex-6 器件开始采用高级可扩展接口 (AXI) 协议作为知识产权 (IP) 内核。Xilinx 继续将 AXI 协议用于针对 7 系列和 Zynq-7000 All Programmable SoC 器件的 IP。
2023-09-27 09:50:27594

AXI IIC总线接口介绍

LogiCORE™IPAXI IIC总线接口连接到AMBA®AXI规范,提供低速、两线串行总线接口,可连接大量流行的设备。
2023-09-28 15:56:164484

LogiCORE JTAG至AXI Master IP核简介

LogiCORE JTAG至AXI Master IP核是一个可定制的核,可生成AXIAXI总线可用于处理和驱动系统中FPGA内部的AXI信号。AXI总线接口协议可通过IP定制Vivado
2023-10-16 10:12:42410

LogiCORE IP AXI UART 16550内核简介

LogiCORE IP AXI 通用异步接收发送器 (UART) 16550 连接到高级微控制器总线架构 (AMBA) AXI,为异步串行数据传输提供控制器接口。该软 IP 核旨在通过 AXI4-Lite 接口进行连接。
2023-10-16 11:02:011762

AXI传输数据的过程

AXI4为例,有AXI full/lite/stream之分。 在Xilinx系列FPGA及其有关IP核中,经常见到AXI总线接口AXI总线又分为三种: •AXI-Lite,AXI-Full以及
2023-10-31 15:37:08386

AXI总线协议总结

在介绍AXI之前,先简单说一下总线、 接口 以及协议的含义 总线、接口和协议,这三个词常常被联系在一起,但是我们心里要明白他们的区别。 总线是一组传输通道,是各种逻辑器件构成的传输数据的通道,一般
2023-12-16 15:55:01248

已全部加载完成