0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

LogiCORE IP AXI UART 16550内核简介

fpga加油站 来源:fpga加油站 2023-10-16 11:02 次阅读

PG143中文文档简介

LogiCORE IP AXI 通用异步接收发送器 (UART) 16550 连接到高级微控制器总线架构 (AMBA) AXI,为异步串行数据传输提供控制器接口。该软 IP 核旨在通过 AXI4-Lite 接口进行连接。

本文档详述的 AXI UART 16550 集成了带 FIFO 的PC16550D 通用异步接收器/发送器数据表 [参考文献 1] 中描述的功能。

AXI UART 16550 IP 核实现了 PC16550D UART 的硬件和软件功能,可在 16450 和 16550UART 模式下工作。有关完整的详细信息,请参阅带 FIFO 的 PC16550D 通用异步接收器/发送器数据表 [参考文献 1]。

AXI UART 16550 内核对接收到的字符进行并行到串行转换。AXI UART 16550 能够发送和接收 8、 7、 6 或 5 位字符, 2、 1.5 或 1 个停止位,奇 偶 校 验 或无奇偶校验。AXI UART 16550 能够发送和接收 8 位、 7 位、 6 位或 5 位字符, 2 位、 1.5 位或 1位停止位,奇数、偶数或无奇偶校验。AXI UART 16550 可以独立发送和接收。

AXI UART 16550 内核具有内部寄存器,用于监控其在配置状态下的状态。该内核可发出接收器、发送器和调制解调器控制中断信号。这些中断可进行屏蔽和优先级排序,并可通过读取内部寄存器来识别。该内核包含一个 16 位可编程波特率发生器和独立的波特率发生器、16 个字符长度的发送和接收 FIFO。可以通过软件启用或禁用 FIFO。

AXI UART 16550 内核的顶层框图如图 1-1 所示

0a01f20a-5e9f-11ee-939d-92fbcf53809c.png

PG143中文文档前20页预览

0a39a42a-5e9f-11ee-939d-92fbcf53809c.png

0a54dbbe-5e9f-11ee-939d-92fbcf53809c.png

0a642bf0-5e9f-11ee-939d-92fbcf53809c.png

0a79512e-5e9f-11ee-939d-92fbcf53809c.png

0a83d8a6-5e9f-11ee-939d-92fbcf53809c.png

0a95662a-5e9f-11ee-939d-92fbcf53809c.png

0aa969cc-5e9f-11ee-939d-92fbcf53809c.png

0acd0b2a-5e9f-11ee-939d-92fbcf53809c.png

0add1196-5e9f-11ee-939d-92fbcf53809c.png

0aed3af8-5e9f-11ee-939d-92fbcf53809c.png

0afaa0e4-5e9f-11ee-939d-92fbcf53809c.png

0b144b2a-5e9f-11ee-939d-92fbcf53809c.png

0b257c6a-5e9f-11ee-939d-92fbcf53809c.png

0b38a4b6-5e9f-11ee-939d-92fbcf53809c.png

0b45ce20-5e9f-11ee-939d-92fbcf53809c.png

0b59a292-5e9f-11ee-939d-92fbcf53809c.png

0b6799ec-5e9f-11ee-939d-92fbcf53809c.png

0b7c1836-5e9f-11ee-939d-92fbcf53809c.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117719
  • 接口
    +关注

    关注

    33

    文章

    7639

    浏览量

    148485
  • 总线
    +关注

    关注

    10

    文章

    2706

    浏览量

    87211
  • uart
    +关注

    关注

    22

    文章

    1159

    浏览量

    99961
  • AXI
    AXI
    +关注

    关注

    1

    文章

    126

    浏览量

    16286

原文标题:PG143| AXI UART 16550 v2.0中文文档

文章出处:【微信号:fpga加油站,微信公众号:fpga加油站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    AXI中断控制器(INTC)v4.1简介

    LogiCOREIP AXI中断控制器(INTC)内核接收来自外围设备的多个中断输入,并将它们合并到或中断输出到系统处理器。
    的头像 发表于 10-07 11:45 901次阅读
    <b class='flag-5'>AXI</b>中断控制器(INTC)v4.1<b class='flag-5'>简介</b>

    AXI UART 16550上的rx和tx端口在哪里?

    我对Vivado很陌生,并且有一个非常基本的问题。 AXI UART 16550上的rx和tx端口在哪里?我扩展了UART引脚,查看了所有连接,似乎无法找到它们。它们被标记为其他东西吗
    发表于 03-22 14:47

    Logicore IP CAN过滤问题

    ,而是接受不应该通过的其他CAN消息。我已多次阅读LogiCORE IP CAN v5.0产品指南,并检查了AFR,AFMR和AFID寄存器的设置。我正在使用CAN B(扩展ID) -J1939 CAN
    发表于 04-02 10:37

    请问AXI UART16550无法接地额外的控制信号的原因是什么?

    大家好,我在设计中使用Zynq 7000 SOC。我已经在PS中启用了UART 0和UART1以及额外的调制解调器信号。除此之外,我需要在PL侧再创建2个UART,我选择了UART
    发表于 04-22 09:06

    如何获得UART16550的额外端口?

    大家好,默认的AXI UART16550在块的右侧只有UARTip2intc_irpt端口。我试着按照一些例子,看来他们的UART16550
    发表于 04-29 09:57

    Zynq UART 16550 FIFO大小是多少?

    您好,我正在使用Zynq 7设备在Vivado上运行AXI UART 16550示例项目。从AXI UART
    发表于 05-25 07:42

    Xilinx的LogiCORE IP Video In to AXI4

    Xilinx的视频的IP CORE 一般都是 以 AXI4-Stream 接口。 先介绍一下, 这个IP的作用。 下面看一下这个IP 的接口: 所以要把标准的VESA信号 转为
    发表于 02-08 08:36 535次阅读
    Xilinx的<b class='flag-5'>LogiCORE</b> <b class='flag-5'>IP</b> Video In to <b class='flag-5'>AXI</b>4

    使用Chip2Chip LogiCOREAXI 主/从 IP,在两块FPGA之间对视频数据的瞬间传输

    你可以将两块FPGA甚至是两块FPGA板捆绑在一起,使用并行或串行I/O以及Chip2Chip LogiCOREAXI IP的组合,使他们相当于一个器件进行工作。这在新的应用笔记“AXI
    发表于 02-09 02:11 2238次阅读

    AXI接口简介_AXI IP核的创建流程及读写逻辑分析

    本文包含两部分内容:1)AXI接口简介;2)AXI IP核的创建流程及读写逻辑分析。 1AXI简介
    的头像 发表于 06-29 09:33 1.5w次阅读
    <b class='flag-5'>AXI</b>接口<b class='flag-5'>简介</b>_<b class='flag-5'>AXI</b> <b class='flag-5'>IP</b>核的创建流程及读写逻辑分析

    Xilinx Logicore IP直接数字合成器DDS的用户手册免费下载

    Xilinx Logicore IP直接数字合成器(DDS)编译核心采用Axi4流兼容接口,实现高性能、优化的相位生成和相位-正弦电路。
    发表于 09-09 08:00 20次下载
    Xilinx <b class='flag-5'>Logicore</b> <b class='flag-5'>IP</b>直接数字合成器DDS的用户手册免费下载

    Xilinx LogiCORE IP块内存生成器的产品指南

    Xilinx LogiCORE IP块内存生成器(BMG)内核是一种高级内存构造函数,它使用XilinxFPGAs中的嵌入式块RAM资源生成区域和性能优化的内存。
    发表于 12-09 15:31 22次下载
    Xilinx <b class='flag-5'>LogiCORE</b> <b class='flag-5'>IP</b>块内存生成器的产品指南

    使用AXI4-Lite将Vitis HLS创建的IP连接到PS

    AXI 基础第 6 讲 - Vitis HLS 中的 AXI4-Lite 简介中,使用 C 语言在 HLS 中创建包含 AXI4-Lite 接口的
    发表于 08-02 09:43 630次阅读
    使用<b class='flag-5'>AXI</b>4-Lite将Vitis HLS创建的<b class='flag-5'>IP</b>连接到PS

    LogiCORE IP AXI4-Stream FIFO内核解决方案

    LogiCORE IP AXI4-Stream FIFO内核允许以内存映射方式访问一个AXI4-Stream接口。该
    的头像 发表于 09-25 10:55 598次阅读
    <b class='flag-5'>LogiCORE</b> <b class='flag-5'>IP</b> <b class='flag-5'>AXI</b>4-Stream FIFO<b class='flag-5'>内核</b>解决方案

    LogiCORE JTAG至AXI Master IP简介

    LogiCORE JTAG至AXI Master IP核是一个可定制的核,可生成AXIAXI总线可用于处理和驱动系统中FPGA内部的AXI信号。AX
    的头像 发表于 10-16 10:12 478次阅读
    <b class='flag-5'>LogiCORE</b> JTAG至<b class='flag-5'>AXI</b> Master <b class='flag-5'>IP</b>核<b class='flag-5'>简介</b>

    Xilinx LogiCORE IP视频定时控制器内核简介

    Xilinx LogiCORE IP视频定时控制器内核是一款通用视频定时生成器和检测器。该内核可通过完整的寄存器集进行高度编程,从而控制各种定时生成参数。这种可编程性与一组全面的中断位
    的头像 发表于 10-16 11:06 321次阅读
    Xilinx <b class='flag-5'>LogiCORE</b> <b class='flag-5'>IP</b>视频定时控制器<b class='flag-5'>内核</b><b class='flag-5'>简介</b>