电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>接口/总线/驱动>如何使用AXI VIP在AXI4(Full)主接口中执行验证和查找错误

如何使用AXI VIP在AXI4(Full)主接口中执行验证和查找错误

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Zynq中AXI4-Lite和AXI-Stream功能介绍

Zynq中AXI4-Lite功能 AXI4-Lite接口AXI4的子集,专用于和元器件内的控制寄存器进行通信。AXI-Lite允许构建简单的元件接口。这个接口规模较小,对设计和验证方面的要求更少
2020-09-27 11:33:028050

Xilinx zynq AXI总线全面解读

AXI (Advanced eXtensible Interface) 本是由ARM公司提出的一种总线协议, Xilinx从 6 系列的 FPGA 开始对 AXI 总线提供支持,目前使用 AXI4
2020-12-04 12:22:446179

AXI VIP设计示例 AXI接口传输分析

赛灵思 AXI Verification IP (AXI VIP) 是支持用户对 AXI4AXI4-Lite 进行仿真的 IP。它还可作为 AXI Protocol Checker 来使用。
2022-07-08 09:24:171280

如何将AXI VIP添加到Vivado工程中

在这篇新博文中,我们来聊一聊如何将 AXI VIP 添加到 Vivado 工程中,并对 AXI4-Lite 接口进行仿真。随后,我们将在仿真波形窗口中讲解用于AXI4-Lite 传输事务的信号。
2022-07-08 09:27:141660

使用AXI-Full接口的IP进行DDR的读写测试

首先对本次工程进行简要说明:本次工程使用AXI-Full接口的IP进行DDR的读写测试。在我们的DDR读写IP中,我们把读写完成和读写错误信号关联到PL端的LED上,用于指示DDR读写IP的读写运行
2022-07-18 09:53:493902

Zynq MPSoC系列器件的AXI总线介绍

MPSoC有六个PL侧高性能(HP)AXI接口连接到PS侧的FPD(PL-FPD AXI Masters),可以访问PS侧的所有从设备。这些高带宽的接口主要用于访问DDR内存。有四个HP AXI
2022-07-22 09:25:242501

AXI VIP当作master时如何使用

  AXI接口虽然经常使用,很多同学可能并不清楚Vivado里面也集成了AXI的Verification IP,可以当做AXI的master、pass through和slave,本次内容我们看下
2023-07-27 09:19:33633

XILINX FPGA IP之AXI Traffic Generator

AXI Traffic Generator IP 用于在AXI4AXI4-Stream互连以及其他AXI4系统外设上生成特定序列(流量)。它根据IP的编程和选择的操作模式生成各种类型的AXI事务。是一个比较好用的AXI4协议测试源或者AXI外设的初始化配置接口
2023-11-23 16:03:45580

AXI4S接口视频协议视频IP中的应用总结

介绍本文总结了AXI4S接口视频协议,该协议视频IP中的应用,对于做过BT.1120总线的,这部分学习起来一点问题没有,只不过信号名称稍微修改了一下。1.1 AXI4-Stream 信号接口
2022-11-14 15:15:13

AXI4协议的读写通道结构

  AXI4协议基于猝发式传输机制。地址通道上,每个交易有地址和控制信息,这些信息描述了需要传输的数据性质。主从设备间的数据传输有两种情况,一种是主设备经过写通道向从设备写数据(简称写交易
2021-01-08 16:58:24

AXI4总线真的需要注意一点

最近在搞AXI4总线协议,有一个问题困扰了两天,真的,最后知道真相的我,差点吐血。 问题是这样的,我设置了突发长度为8,结果,读了两个轮回不到,断了,没有AWREDATY信号了,各种找,最后发现设置
2016-06-23 16:36:27

AXI4总线需要注意的又一点

如果在仿真的时候出现可以写,可以读,但是读出来的数据一直是那么几个的问题,很有可能,你和我一样,是个马大哈了,去看DQ,是不是地址也来来去去就那么几个?是的话,可以考虑考虑你的地址的问题,AXI4
2016-06-24 16:25:38

AXI4流互连IP2中True Round-Robin和Round-Robin仲裁方案之间的区别是什么

,那么它适应为每个活动从接口提供相等的加权”,以进行真正的循环仲裁。我的例子中,我有4个从设备和1个主设备通过AXI4互连连接。考虑到SLAVE1正在向后发送数据并且没有其他从设备正在发送数据的情况
2020-05-20 14:51:06

AXI 1G / 2.5G以太网子系统AXI4-Stream接口中的最大“数据包”大小是多少?

个恒定的6个32位字,所以必须注意帧数据或控制数据的缓冲区填满的条件。防止无序状况。“我还说AXI4-Stream接口中“数据是以数据包的形式传输而不是连续流”。最大9Kb“帧”大小是否也适用于通过AXI4-Stream接口发送的最大“数据包大小”?问候。
2020-05-25 09:37:36

AXI-stream数据传输过程

  AXI4-Stream跟AXI4的区别在于AXI4-Stream没有ADDR接口,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时,允许无限制的数据突发传输规模
2021-01-08 16:52:32

AXI接口协议详解

AXI 总线上面介绍了AMBA总线中的两种,下面看下我们的主角—AXIZYNQ中有支持三种AXI总线,拥有三种AXI接口,当然用的都是AXI协议。其中三种AXI总线分别为:AXI4
2022-04-08 10:45:31

AXI接口协议详解

分别为:  AXI4:(For high-performance memory-mappedrequirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据
2022-10-14 15:31:40

AXI具有哪些性能特点?

AXI有哪些性能?AXI的特点是什么?AXI4有哪些工作模式?
2021-06-23 08:13:52

Axi4ReadOnlyDecoder模块参数配置解析

;arready),若没有新的指令到来,那么readCmd.addr需保持不变,否则pendingels及pendingError将会变化可能导致读数据通道的译码错误。而在Axi4 Spec里并无此要求。有了上面
2022-08-04 14:28:56

axi4-stream combiner问题的解决办法?

AXI4-Streamslave接口上TDATA信号的宽度(以字节为单位)。 AXI4-Stream接口TDATA宽度是此值乘以从属接口数参数。此参数是一个整数,可以0到(512 /从站接口数)之间变化。设置为0以省略
2020-08-20 14:36:50

AMBA 4 AXI4AXI4-Lite和AXI4-流协议断言用户指南

您可以将协议断言与任何旨在实现AMBA®4 AXI4接口一起使用™, AXI4 Lite™, 或AXI4流™ 协议通过一系列断言根据协议检查测试接口的行为。 本指南介绍SystemVerilog
2023-08-10 06:39:57

ARM CoreLink AXI4至AHB Lite XHB-400桥接技术参考手册

XHB将AXI4协议转换为AHB-Lite协议,并具有AXI4接口和AHB-Lite接口。有关AXI4事务如何通过XHB桥接到AHB-Lite的信息,请参阅第2-2页的表2-1
2023-08-02 06:51:45

Designing High-Performance Video Systems with the AXI Interconnect

in the reference design consist of AXI4, AXI4-Lite, andAXI4-Stream interfaces as described in the AMBA AXI4
2012-01-26 18:57:03

PCIE项目中AXI4 IP核例化详解

的fifo接口),用户只要操作fifo接口,无需关心PCIE的内部驱动。为了便于读者更加明白,可以深入了解PCIE,我们将会制作一个PCIE的连载系列。今天,首先说一下自定义AXI4的IP核,至于AXI4
2019-12-13 17:10:42

SoC Designer AXI4协议包的用户指南

这是SoC Designer AXI4协议包的用户指南。该协议包包含SoC Designer组件、探针和ARM AXI4协议的事务端口接口(包括对AMBA4 AXI的支持)。
2023-08-10 06:30:18

VHDL模块AXI4接口如何与自定义接口兼容?

或起点吗?此外,我的VHDL模块具有AXI4接口,而其他模块具有自定义接口。如何使它们兼容?将等待有用的回复。问候
2020-05-22 09:24:26

XADC和AXI4Lite接口:定制AXI引脚

你好,我有一个关于XADC及其AXI4Lite接口输入的问题。我想在Microzed 7020主板上测试XADC,通过AXI4Lite接口将Zynq PL连接到XADC向导(参见第一个附件)之后
2018-11-01 16:07:36

Xilinx中的问题产生了具有边沿敏感中断的AXI组件怎么解决?

我想我Xilinx 2015.4生成的verilog AXI组件代码中发现了一个问题。为清楚起见,我选择了“工具>创建和打包IP”,选择“创建AXI4外设”,并选中“启用中断支持”。我能够
2020-08-12 06:43:37

ZYNQ & AXI总线 & PS与PL内部通信(用户自定义IP)

接口,图中已用红色方框标记出来,我们可以清楚的看出接口连接与总线的走向:AXI协议之握手协议AXI4所采用的是一种READY,VALID握手通信机制,简单来说主从双方进行数据通信前,有一个握手的过程
2018-01-08 15:44:39

【正点原子FPGA连载】第九章AXI4接口之DDR读写实验--摘自【正点原子】达芬奇之Microblaze 开发指南

Vivado中实现一个AXI4接口的IP核,用于对DDR3进行读写测试。本章包括以下几个部分:99.1简介9.2实验任务9.3硬件设计9.4软件设计9.5下载验证9.1简介我们在前面的实验中介绍了一些
2020-10-22 15:16:34

【正点原子FPGA连载】第十五章AXI4接口之DDR读写实验--领航者ZYNQ之嵌入式开发指南

原子公众号,获取最新资料第十五章AXI4接口之DDR读写实验Xilinx从Spartan-6和Virtex-6系列开始使用AXI协议来连接IP核。7系列和ZYNQ-7000 AP SoC器件中
2020-09-04 11:10:32

使用ZYBO板VIVADO中使用AXI4 BFM仿真接口创建外设IP时收到错误消息

问候,因此,我创建IP外设并在VIVADO中使用ZYBO板单击“使用AXI4 BFM仿真接口验证外设IP”选项时收到此错误消息。我只想看到AXI接口的模拟我甚至没有它的逻辑,我创建了一个虚拟项目
2019-04-12 15:17:23

可以EDK中使用Axi4Stream接口/总线吗?

你好,我正在EDK中使用axi4stream。有人可以帮助我如何使用通过Vivado高级综合(HLS)生成的ap_fifo / axi4stream接口可以EDK中使用吗?我正在使用Export
2019-02-28 13:47:30

如何使用AXI配置的ILA调试PCIe AXI接口

嗨,大家好,我目前正在创建一个PCIe接口卡,我正处于项目的调试阶段。我试图监视用户_clkrate的AXI突发。关于ILA核心和PCIe端点(VC709上)我有一些问题。1.当我尝试将
2019-09-25 09:26:14

如何使用Xilinx AXI VIP对自己的设计搭建仿真验证环境的方法

使用Vivado生成AXI VIPAXI Verification IP)来对自己设计的AXI接口模块进行全方位的验证(如使用VIP的Master、Passthrough、Slave三种模式对自己写的AXI
2022-10-09 16:08:45

如何去实现一种Axi4读通路多路仲裁的设计

多选一的抉择相较于Axi4写通路,多通路的多选一就容易多了。对于Axi4ReadOnlyArbiter,其仅需处理两个问题:Ar通路多端口仲裁,其处理和写通路aw通路基本相同,采用多端口RR调度即可
2022-08-08 14:32:20

如何用zedboard创建一个AXI接口应用程序?

大家好,我正在使用zedboard创建一个AXI接口应用程序,以突发模式从ARM发送64字节数据到FPGA。为此,我vivado中创建了一个自定义AXI从站,选择它作为AXI FULL(因为AXI
2020-08-12 10:37:46

如何设计定制的AXI-liteIP?

嗨,我开始使用Vivado了。我正在尝试配置从Dram读取数据的自定义IP,处理它们然后将结果发送到Bram控制器。我想过使用AXI接口制作自定义IP。但是,我不知道将AXI信号连接到我的自定义逻辑,以便我可以从Dram读取数据并将结果发送到Bram。谢谢。
2020-05-14 06:41:47

学习架构-AMBA AXI简介

元素(如混合端序结构)的支持。 本文档重点介绍AXI4中定义的AXI的关键概念,并强调了差异 适用时,适用于AXI3。AXI5扩展了AXI4,并引入了一些性能和Arm 架构特征。此处描述的关键概念仍然适用,但 AXI5在此未涵盖
2023-08-09 07:37:45

数据增大是否是AXI互连的一部分?

我对AXI互连有疑问,1.我的AXI4 Masterwith数据宽度为32位。我有64位数据宽度的AXI3从器件。互连如何工作?2.如果我有64位的PL AXI3 Master,我想连接到PS
2019-04-01 10:10:35

是否可以使用AXI4流以某种方式从收发器中提取输入数据

大家好。我目前正在使用GTH收发器实现更复杂的设计,这些收发器工作2.8 GHz(5.6GB),我想知道我是否可以使用AXI4流以某种方式从收发器中提取输入数据。有没有办法将数据写入内存并
2019-05-05 13:14:10

是否可以使用带有AXI4接口的逻辑核心编码器版本9

你好是否可以使用带有AXI4接口的逻辑核心ip reed solomon编码器版本9。问候Rose Varghese
2020-05-20 15:44:58

求问ZYNQ的ARM上跑linux,如何开发多寄存器的AXI4_IP的驱动?

我想在ZYNQ上的PS也就是ARM上跑linux系统,然后PL中有加入一个AXI4的IP,IP中有多个寄存器,我不知道该如何开发驱动程序来对这个寄存器列表进行读写。然后单个寄存器Embedded
2015-07-22 19:11:29

看看Axi4写通道decoder的设计

读写分离的设计Axi4总线中,读和写通道是完全相互独立,互不干扰。故而无论是设计Decoder还是Arbiter时,均可以采用读写分离的方式。如前文所述,SpinalHDL基于Axi4总线
2022-08-03 14:27:09

看看在SpinalHDL中AXI4总线互联IP的设计

,ar)共用一组信号的接口(arw,w,b,r)。关于总线互联的设计凡是设计中用到Axi4总线的设计总离不开总线互联。Xilinx FPGA使用中,VIvado针对Axi4总线提供了丰富的IP,对于
2022-08-02 14:28:46

请问AXI-4接口有没有可用的地址线?

的发送引擎。#1。根据“表2-2:AXI4-流接口端口 - 发送”&美国的其他相关部分,我看到这个AXI-4接口没有可用的地址线。我错过了什么吗?我想知道为什么在这个接口上没有地址线的原因
2020-04-28 10:00:42

请问KC705上的AXI EMC核心硬件测试例子哪里可以找到?

硬件验证,该电路具有-1速度等级(325T)。该设置使用AXI4系统,除了AXI EMC内核外,还实现了MicroBlaze™处理器,AXI4互连,AXI中断控制器,AXI Block RAM和UART
2019-09-10 11:35:16

请问microblaze如何通过串口读写FPGA内部axi4总线上的寄存器?

microblaze通过串口读写FPGA内部axi4总线上的寄存器
2020-12-23 06:16:11

高级可扩展接口AXI)简介

和低功耗接口AXI频道AXI站和从站之间有五个独立的通道。它们是: 读取地址通道读取数据通道写地址通道写数据通道写响应通道地址通道用于执行主从之间的基本握手时发送地址和控制信息。数据通道是要交换信息
2020-09-28 10:14:14

Adam Taylor玩转MicroZed系列67:AXI DMA II

AXI4-Stream—使用DMA时,从Zynq SoC的XDAC流式接口到内存映射,提供高性能输出 AXI4-Lite —配置和控制XADC以及DMA控制器 AXI4 —配置
2017-02-08 08:10:39286

Xilinx的LogiCORE IP Video In to AXI4

Xilinx的视频的IP CORE 一般都是 以 AXI4-Stream 接口。 先介绍一下, 这个IP的作用。 下面看一下这个IP 的接口: 所以要把标准的VESA信号 转为
2017-02-08 08:36:19531

AXI接口简介_AXI IP核的创建流程及读写逻辑分析

本文包含两部分内容:1)AXI接口简介;2)AXI IP核的创建流程及读写逻辑分析。 1AXI简介(本部分内容参考官网资料翻译) 自定义IP核是Zynq学习与开发中的难点,AXI IP核又是十分常用
2018-06-29 09:33:0014957

如何使用Xilinx AXI进行验证和调试

了解如何使用Xilinx AXI验证IP有效验证和调试AXI接口。 该视频回顾了使用的好处,以及如何使用示例设计进行模拟。
2018-11-20 06:38:003561

AXI接口设计的三个要点

AXI2MEM转换接口需要将来自PCIE的AXI信号(时钟为250MHz或者500MHz)转换成100MHz时钟的MEM接口。MEM接口用于SOC总线主端口,用于读写芯片内部模块或者配置寄存器。
2019-05-12 09:19:312243

如何创建基本AXI4-Lite Sniffer IP以对特定地址上正在发生的读写传输事务进行计数

这将创建一个附带 BD 的 Vivado 工程,此 BD 包含 AXI VIP (设置为 AXI4-Lite 主接口) 和 AXI GPIO IP。这与我们在 AXI 基础第 3 讲一文 中完成的最终设计十分相似。
2020-04-30 16:24:502068

AXI4接口协议的基础知识

AXI-4 Memory Mapped也被称之为AXI-4 Full,它是AXI4接口协议的基础,其他AXI4接口是该接口的变形。总体而言,AXI-4 Memory Mapped由五个通道构成,如下图所示:写地址通道、写数据通道、写响应通道、读地址通道和读数据通道。
2020-09-23 11:20:235453

一文详解ZYNQ中的DMA与AXI4总线

在ZYNQ中,支持AXI-Lite,AXI4AXI-Stream三种总线,但PS与PL之间的接口却只支持前两种,AXI-Stream只能在PL中实现,不能直接和PS相连,必须通过AXI
2020-09-24 09:50:304289

FPGA程序设计:如何封装AXI_SLAVE接口IP

在FPGA程序设计的很多情形都会使用到AXI接口总线,以PCIe的XDMA应用为例,XDMA有两个AXI接口,分别是AXI4 Master类型接口AXI-Lite Master类型接口,可通过
2020-10-30 12:32:373953

何谓 AXI?关于AXI3/AXI4的相关基础知识

引言 近来,几乎每个赛灵思 IP 都使用 AXI 接口。Zynq、Zynq MP、MicroBlaze 和全新的 Versal 处理器都无一例外使用 AXI 接口。因此,AXI 接口已成为几乎所有
2020-09-27 11:06:455857

zynq中AXI4的五种互联结构介绍

互联结构包括直通模式、只转换模式、N-1互联模式、N-M互联模式。 1. 直通模式 当只有一个主设备和一个从设备使用AXI互联时,AXI互联不执行任何转换或流水线功能,AXI互联结构退化成直接
2020-11-16 17:39:243093

高级可扩展接口AXI)简介

和低功耗接口AXI频道AXI主站和从站之间有五个独立的通道。它们是:读取地址通道读取数据通道写地址通道写数据通道写响应通道地址通道用于在执行主从之间的基本握手时发送地址和控制信息。数据通道是要交换信息
2020-09-29 11:44:225425

你必须了解的AXI总线详解

DMA的总结 ZYNQ中不同应用的DMA 几个常用的 AXI 接口 IP 的功能(上面已经提到): AXI-DMA:实现从 PS 内存到 PL 高速传输高速通道 AXI-HP----AXI
2020-10-09 18:05:576391

ZYNQ中DMA与AXI4总线

接口的构架 在ZYNQ中,支持AXI-Lite,AXI4AXI-Stream三种总线,但PS与PL之间的接口却只支持前两种,AXI-Stream只能在PL中实现,不能直接和PS相连,必须通过
2020-11-02 11:27:513880

AXI-Stream代码

AXI-Stream代码详解 AXI4-Stream跟AXI4的区别在于AXI4-Stream没有ADDR接口,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时,允许无限制的数据
2020-11-05 17:40:362826

深入AXI4总线一握手机制

本系列我想深入探寻 AXI4 总线。不过事情总是这样,不能我说想深入就深入。当前我对 AXI总线的理解尚谈不上深入。但我希望通过一系列文章,让读者能和我一起深入探寻 AXI4
2021-03-17 21:40:2925

全面介绍ZYNQ-AXI互联IP

,它使用通用的AXI4接口在系统中移动或转换数据,而不解释数据。 这些基础的IP各自有自己的常用的功能,下面列举出一部分AXI接口的基础构架IP。 ° AXI Register slices
2021-05-11 14:52:555612

深入 AXI4总线 (四):RAM 读取实战

本系列我想深入探寻 AXI4 总线。不过事情总是这样,不能我说想深入就深入。当前我对 AXI总线的理解尚谈不上深入。但我希望通过一系列文...
2022-02-07 11:36:334

关于AXI4-Stream协议总结分享

XI4-Stream跟AXI4的区别就是AXI4-Stream去除了地址线,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时。由于AXI4-Stream协议(amba4_axi4_stream_v1_0_protocol_spec.pdf)没有时序图,
2022-06-23 10:08:471781

AXI_GP接口AXI_HP接口的相关内容

学习关于ZYNQ IP核中的GP接口和HP接口的异同,介绍关于AXI_GP接口AXI_HP接口的相关内容。
2022-07-03 14:17:341880

AXI4AXI4-Lite 、AXI4-Stream接口

AXI4 是一种高性能memory-mapped总线,AXI4-Lite是一只简单的、低通量的memory-mapped 总线,而 AXI4-Stream 可以传输高速数据流。从字面意思去理解
2022-07-04 09:40:145818

AXI VIP 中产生传输事务的基本方法

本系列我想深入探寻 AXI4 总线。不过事情总是这样,不能我说想深入就深入。当前我对 AXI总线的理解尚谈不上深入。但我希望通过一系列文章,让读者能和我一起深入探寻 AXI4
2022-08-29 14:58:441272

使用AXI VIP的几个关键步骤及常见功能

使用Vivado生成AXI VIPAXI Verification IP)来对自己设计的AXI接口模块进行全方位的验证(如使用VIP的Master、Passthrough、Slave三种模式对自己写的AXI
2022-10-08 16:07:113846

使用AXI4总线实现视频输入输出

Xilinx vivado下通常的视频流设计,都采用Vid In to axi4 stream --> VDMA write --> MM --> VDMA read -->
2022-10-11 14:26:034555

一些高质量的AMBA(APB/AHB/AXI) VIP分享

关于VIP的好处,估计就不用我安利了,引用最近S家的一句广告语,“拥有VIP,无惧芯片设计挑战”。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。
2022-12-06 14:58:041076

AXI3与AXI4写响应的依赖区别​

上面两图的区别是相比AXI3,AXI4协议需要确认AWVALID、AWREADY握手完成才能回复BVALID。为什么呢?
2023-03-30 09:59:49668

AXI4协议五个不同通道的握手机制

AXI4 协议定义了五个不同的通道,如 AXI 通道中所述。所有这些通道共享基于 VALID 和 READY 信号的相同握手机制
2023-05-08 11:37:50700

FPGA AXI4协议学习笔记(一)

AMBA AXI协议支持高性能、高频系统设计。
2023-05-24 15:05:12688

FPGA AXI4协议学习笔记(二)

上文FPGA IP之AXI4协议1_协议构架对协议框架进行了说明,本文对AXI4接口的信号进行说明。
2023-05-24 15:05:46842

FPGA AXI4协议学习笔记(三)

上文FPGA IP之AXI4协议1_信号说明把AXI协议5个通道的接口信息做了说明,本文对上文说的信号进行详细说明。
2023-05-24 15:06:41669

FPGA IP之AXI4接口信号说明

ACLK,ARESETn,AXI所有信号都在时钟的上升沿采样.
2023-06-07 15:24:121160

AXI4-Lite协议简明学习笔记

AXI4协议是ARM的AMBA总线协议重要部分,ARM介绍AXI4总线协议是一种性能高,带宽高,延迟低的总线协议。
2023-06-19 11:17:422097

Xilinx FPGA AXI4总线(一)介绍【AXI4】【AXI4-Lite】【AXI-Stream】

从 FPGA 应用角度看看 AMBA 总线中的 AXI4 总线。
2023-06-21 15:21:441729

Xilinx FPGA AXI4总线(二)用实例介绍5个读写通道

AXI4协议是一个点对点的主从接口协议,数据可以同时在主机(Master)和从机(Slave)之间**双向** **传输** ,且数据传输大小可以不同。
2023-06-21 15:26:431388

握手机制、通道依赖性及AXI-Lite握手实例

AXI4:高性能内存映射需求(如读写DDR、使用BRAM控制器读写BRAM等),为了区别,有时候也叫这个为 AXI4-Full
2023-06-25 16:23:14714

AXI VIP当作master时如何使用?

AXI接口虽然经常使用,很多同学可能并不清楚Vivado里面也集成了AXI的Verification IP,可以当做AXI的master、pass through和slave,本次内容我们看下AXI VIP当作master时如何使用。
2023-07-27 09:16:13792

什么是AXIAXI如何工作?

Xilinx 从 Spartan-6 和 Virtex-6 器件开始采用高级可扩展接口 (AXI) 协议作为知识产权 (IP) 内核。Xilinx 继续将 AXI 协议用于针对 7 系列和 Zynq-7000 All Programmable SoC 器件的 IP。
2023-09-27 09:50:27594

AXI IIC总线接口介绍

LogiCORE™IPAXI IIC总线接口连接到AMBA®AXI规范,提供低速、两线串行总线接口,可连接大量流行的设备。
2023-09-28 15:56:164484

LogiCORE JTAG至AXI Master IP核简介

LogiCORE JTAG至AXI Master IP核是一个可定制的核,可生成AXIAXI总线可用于处理和驱动系统中FPGA内部的AXI信号。AXI总线接口协议可通过IP定制Vivado
2023-10-16 10:12:42410

AXI传输数据的过程

AXI4为例,有AXI full/lite/stream之分。 在Xilinx系列FPGA及其有关IP核中,经常见到AXI总线接口AXI总线又分为三种: •AXI-Lite,AXI-Full以及
2023-10-31 15:37:08386

漫谈AMBA总线-AXI4协议的基本介绍

本文主要集中在AMBA协议中的AXI4协议。之所以选择AXI4作为讲解,是因为这个协议在SoC、IC设计中应用比较广泛。
2024-01-17 12:21:22224

PCIe-AXI-Cont用户手册

Transaction layer的所有功能特性,不仅内置DMA控制器,而且具备AXI4用户接口,提供一个高性能,易于使用,可定制化的PCIe-AXI互连解决方案,同时适用于ASIC和FPGA。
2024-02-22 09:15:460

已全部加载完成