电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>半导体技术>工艺/制造>Cadence为台积电16纳米FinFET+制程推出IP组合

Cadence为台积电16纳米FinFET+制程推出IP组合

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

台积电PK三星 6纳米制程明年首季试产

台积电4月16日晚宣布,推出6纳米(N6)制程技术,大幅强化7纳米(N7)技术。据其日前宣布5纳米已进入试产,台积电无疑越接近摩尔定律的极限。每隔一纳米,都要在7、6、5纳米制程一路通吃。值得注意的是,其主要竞争对手三星也在日前宣布完成5纳米EUV工艺研发,并已送样给客户,双雄竞争不相上下。
2019-04-18 11:15:24697

Cadence首个DDR4 Design IP解决方案在28纳米级芯片上得到验证

Cadence宣布业内首个DDR4 Design IP解决方案在28纳米级芯片上得到验证
2012-09-10 09:53:241403

为扩展IP产品组合Cadence达成收购Tensilica协议

宣布,其已就以约3亿8千万美元的现金收购在数据平面处理IP领域的领导者Tensilica, Inc.达成了一项最终协议。此次收购将有助于Cadence进一步扩展的IP产品组合
2013-03-12 11:37:561194

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于台积电16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441509

Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

Cadence设计系统公司(Cadence Design Systems, Inc.)(纳斯达克代码:CDNS)今日宣布与TSMC签订了一项长期合作协议,共同开发16纳米FinFET技术,以其适用于
2013-04-09 11:00:05798

ARM发布针对台积电16纳米FinFET制程技术POP IP产品蓝图

ARM近日宣布针对台积电28HPM(High Performance for Mobile, 移动高性能)制程技术,推出以ARMv8为架构的Cortex-A57与Cortex-A53处理器优化套件(POP) IP解决方案
2013-04-18 10:33:521168

台积电认可Cadence Tempus时序签收工具用于20纳米设计

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电(TSMC)在20纳米制程对全新的Cadence® Tempus™时序签收解决方案提供了认证。该认证
2013-05-24 11:31:171345

反击Altera 赛灵思2014量产16纳米FPGA

面对Altera采用英特尔(Intel)14纳米三门极电晶体(Tri-gate Transistor)制程,并将于2016年量产14纳米FPGA的攻势,赛灵思于日前发动反击,将携手台积电采用16纳米FinFET制程,抢先于2014年推出新一代FPGA。
2013-05-31 09:29:541063

Cadence设计工具通过台积电16nm FinFET制程认证

Cadence系统芯片开发工具已经通过台积电(TSMC) 16纳米 FinFET制程的设计参考手册第0.1版与 SPICE 模型工具认证,客户现在可以享用Cadence益华电脑流程为先进制程所提供的速度、功耗与面积优势。
2013-06-06 09:26:451236

竞逐FinFET设计商机 EDA厂抢推16/14纳米新工具

EDA 业者正大举在FinFET市场攻城掠地。随着台积电、联电和英特尔(Intel)等半导体制造大厂积极投入16/14奈米FinFET制程研发,EDA工具开发商也亦步亦趋,并争相发布相应解决方案,以协助IC设计商克服电晶体结构改变所带来的新挑战,卡位先进制程市场。
2013-08-26 09:34:041899

携手TSMC 赛灵思稳猛打制程

赛灵思(Xilinx)营收表现持续看涨。赛灵思携手台积电,先将28纳米制程新产品效益极大化,而后将持续提高20纳米16纳米FinFET制程比例,同时以FPGA、SoC及3D IC三大产品线创造5年以上的持续获利表现。赛灵思将可利用与台积电良好的合作关系,于先进制程竞赛中稳扎稳打,获得客户青睐。
2013-10-22 09:08:011144

16纳米来了!台积电试产16nm FinFET Plus

昨日台积电官方宣布,16nm FinFET Plus(简称16FF+)工艺已经开始风险性试产。16FF+是标准的16nm FinFET的增强版本,同样有立体晶体管技术在内,号称可比20nm SoC平面工艺性能提升最多40%,或者同频功耗降低最多50%。
2014-11-14 09:31:582127

华力微拉拢联发科抢攻FinFET制程 较劲中芯、高通

华力微业界传出大陆华力微电子高层近期来台拜会联发科,表达大陆半导体政策已不再满足于28纳米制程,希望先进逻辑制程技术全面拥抱FinFET制程世代。
2015-08-09 13:03:071084

台积电16nm制程将量产 新款Kirin950处理器打头阵

随着台积电揭晓7月份营收表现,其中同时透露旗下16nm FinFET+制程技术将如期于今年第三季内投入量产,预期将用于代工量产华为旗下海思半导体新款Kirin 950处理器,同时也将协助量产苹果A9处理器。
2015-08-12 10:45:111438

台积电第三代16纳米出击 2016年可望横扫市场

台积电第三代16纳米FinFET制程从第4季起,大量对客户投石问路,这也是台积电口中的低价版本,随着攻耗和效能的改善,以及价格的修正,台积电可望在2016年全面提升FinFET制程市占率。
2015-10-16 07:47:03807

台积电16纳米制程产能 苹果及两岸芯片厂几乎全包

2016年除了苹果(Apple)是台积电16纳米制程最重要客户外,包括联发科、海思及展讯均积极在台积电导入16纳米制程量产,大幅拉抬两岸IC设计业者在台积电先进制程投片比重,2016年台积电16纳米制程产能除了供应苹果产品需求,其他产能几乎已被两岸IC设计业者全包。
2016-02-26 08:10:42886

决战7纳米制程,台积电拼足了劲!

台积电则是透过CEO刘德音表态指出,7纳米制程的SRAM良率已经达 30%到40% ,将会是业界首家通过7纳米制程认证的半导体公司。
2016-06-12 09:39:431526

判断FinFET、FD-SOI与平面半导体制程的市场版图还早

获得英 特尔(Intel)、三星、台积电(TSMC)等大厂采用的FinFET制程,号称能提供最高性能与最低功耗;但Jones指出,在约当14纳米节 点,FD-SOI每逻辑闸成本能比FinFET低16.8%,此外其设计成本也低25%左右,并降低了需要重新设计的风险。
2016-09-14 11:39:021835

GF技术长:7纳米全球四强争霸,10纳米制程性价比不佳

我们的FinFET制程分为两个世代,包括14纳米和7纳米。过去我们的14纳米是和三星电子(Samsung Electronics)合作,在7纳米上我们选择不同技术,加上收购IBM资产后,我们的研发资源变广,因此决定自己开发7纳米制程技术。
2016-11-03 09:17:281478

格芯为高性能应用推出全新12纳米 FinFET技术

12纳米领先性能(12LP)的FinFET半导体制造工艺。该技术预计将提高当前代14纳米 FinFET产品的密度和性能,同时满足从人工智能、虚拟现实到高端智能手机、网络基础设施等最具计算密集型处理需求的应用。 这项全新的12LP技术与当前市场上的16 /14纳米 FinFET解决方案相比,电路密度提高
2017-09-25 16:12:368666

中芯揽三星电子、台积电技术猛将梁孟松 拼14纳米FinFET要2019年量产

制程进度,共同执行长赵海军表示,先进制程14纳米FinFET将于2019年量产,第二代28纳米HKMG制程也会于2018年底问世,外界都睁大眼睛等着检视成绩单。 中芯国际15日的线上法说中,仍是由赵海军主持会议,梁孟松仅简短发言,代表加入新团队后的首次现“声”,也满
2017-11-27 16:29:531345

中芯国际14纳米FinFET研发完成,良率达到95%

根据供应链传出的消息指出,中国大陆最大的晶圆代工厂中芯国际,目前最新的14纳米FinFET制程已接近研发完成阶段,其试产的良率已经可以达到95%的水准。因此,距离2019年正式量产的目标似乎已经不远了。
2018-06-15 14:09:468507

FinFET(鳍型MOSFET)简介

增强;同时也极大地减少了漏电流的产生,这样就可以和以前一样继续进一步减小Gate宽度。目前三星和在其14/16nm这一代工艺都开始采用FinFET技术。图6:Intel(左:22nm)和Samsung(右:14nm)Fin鳍型结构注:图3、图6的图片来于网络。
2017-01-06 14:46:20

0.18工艺电源电压分别是多少?

0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

5nm架构设计试产

宣布5nm基本完工开始试产:面积缩小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

或将“独吞”A7大单

有机会“独吞”A7代工订单。  作为全球规模最大的专业集成电路制造公司,其技术优势的领先,在业界可谓屈指可数。积极开发20纳米制程,花旗环球证券指出,在技术领先MAX3232EUE+T优势下,未来1
2012-09-27 16:48:11

电量产安徽iPhone 8用大时代10nmA11芯片可靠吗

正在大量生产用于苹果iPhone8手机的10nm A11处理器。消息称,苹果可能在下个月初正式发布iPhone 8,但是具体发货日期仍然不确定。  据悉,已经采用10nm FinFET
2017-08-17 11:05:18

AI芯片可能只是FPGA的附庸

处理器号称是“全球第一个AI汽车超级芯片”,将采用16nm FinFET+工艺制造,集成多达70亿个晶体管,性能方面,Xavier预计可以达到30 DL TOPS,比现在的Drive PX 2平
2018-07-31 09:56:50

ARM超低功耗实体IP对MCU发展有什么影响

ARM日前推出可驱动新一代节能型微控制器(MCU)发展的超低功耗实体IP数据库。ARM 0.18um超低功耗数据库(uLL)具备ARM Cortex处理器系列的内建电源管理优势,结合
2019-07-22 07:00:02

GF退出7纳米大战 三国鼎立下中国芯路在何方

技术开发成功,同时透露会朝第二代的 FinFET 技术开发。若***一举朝 7 纳米前进,将会成为全球第四家 7 纳米技术供应商,与英特尔、、三星分庭抗礼。同时,华为海思的麒麟980也抢先发布,首款
2018-09-05 14:38:53

[转]16nm FinFET Plus及InFO WLP 通吃英特尔苹果

16纳米FinFET制程,但因许多客户认为16纳米FinFET与目前量产中的20纳米SoC制程相较,效能及功耗上并无太明显的差距,也因此,加快脚步开发出16纳米FinFET Plus制程,除了可较
2014-05-07 15:30:16

【AD新闻】百万片订单大洗牌!或成高通新一代PMIC芯片最大供应商

,未来就要看竞争对手的制程技术能否赶得上脚步。 近期高通与持续紧密合作,业界传出在最先进的7纳米制程技术上,因为技术开发领先三星电子(Samsung Electronics),可望拿回高通7
2017-09-22 11:11:12

【AD新闻】竞争激烈!中芯抢高通芯片订单

据外媒报道,预计将获得高通新一代电源管理芯片(PWM IC)70%至80%的订单。高通前一代电源管理芯片是由中芯国际(SMIC)生产的,后者在其8英寸晶圆厂使用0.18至0.153微米工艺来生
2017-09-27 09:13:24

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2年一次?
2021-02-01 07:54:00

全球进入5nm时代

,第三季度以最快速度提升产能,下半年5nm产能提升速度及幅度有望创下该公司产能新纪录。到了5nm阶段,的投资额进一步攀升,16nm制程下,1万片产能投资约15亿美元,7nm制程下,1万片产能投资估计30
2020-03-09 10:13:54

各类常用工艺库,中芯国际,华润上华

各类常用工艺库,中芯国际,华润上华
2015-12-17 19:52:34

日进3.3亿,年狂挣千亿的,为何还涨价?

本帖最后由 华强芯城 于 2023-3-17 09:16 编辑 晶圆代工巨头——近日传出涨价20%的消息,业内轰动。这是继2020年底上涨超10%之后,一年之内,又一次的大幅上涨
2021-09-02 09:44:44

求介绍纳米定位是怎么运行的?

求介绍纳米定位是怎么运行的?、
2013-06-21 15:21:53

电子行业人士带你入行之纳米制程小白篇

这些年,英特尔、三星、制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米16纳米,那么问题来了,这个14纳米16纳米有什么好争的?下面芯易网就来简单做一下介绍。纳米
2016-12-16 18:20:11

电子行业人士带你入行之纳米制程小白篇

这些年,英特尔、三星、制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米16纳米,那么问题来了,这个14纳米16纳米有什么好争的?下面芯易网就来简单做一下介绍。纳米
2016-06-29 14:49:15

米尔FZ3深度学习计算卡免费试用

UltraScale+ MPSoC 平台,集成了四核 Cortex™-A53 处理器,双核 Cortex™-R5 实时处理单元以及 Mali-400 MP2 图形处理单元及 16nm FinFET+ 可编程逻辑了解更多>>
2020-10-09 10:21:45

苹果芯片供应商名单曝光后 三星哭了!

,所以只能以旧工艺(16nm制程)制造A10处理器。除此之外,还将独家代工重大变化的2017年版iPhone采用的A11处理器。据称A11芯片将采用10纳米FinFET工艺,最早有望于明年二季度
2016-07-21 17:07:54

论工艺制程,Intel VS谁会赢?

其中之一。在去年底发布的iPhone 6s和iPhone6s Plus中,该公司采用了三星供应的14纳米A9芯片,但同时也有部分机型采用了16纳米A9芯片。现阶段的仍然是全球最大的合同制
2016-01-25 09:38:11

中芯国际:能否成为“”?

中芯国际
芯前沿发布于 2021-07-16 18:28:10

比亚迪进军半导体,或成下一个#半导体

时事热点
硬声何同学发布于 2021-08-26 15:18:50

宣布芯片全面涨价!除了赚钱,还意味着什么?

硬件小哥哥发布于 2021-09-02 18:07:15

要自研光刻机#芯片 #

行业芯事经验分享
中国芯动向发布于 2022-06-07 16:46:41

媒:2纳米预计2025年量产

纳米量产行业芯事时事热点
电子发烧友网官方发布于 2022-09-13 14:32:34

芯片制程说的是什么_Finfet的原理#芯片制程 #Finfet #芯片制造

芯片FET芯片制造电厂FinFET
电厂运行娃发布于 2022-10-17 01:22:46

中芯国际采用Cadence DFM解决方案用于65和45纳米

中芯国际采用Cadence DFM解决方案用于65和45纳米 IP/库开发和全芯片生产 Cadence 模型化的 Litho Physical 和 Litho Electrical
2009-10-19 17:48:11461

延迟!正式做出回应了#芯片制造

芯片制造行业资讯
硬声科技热点发布于 2022-10-21 14:05:52

中芯国际(SMIC)和Cadence 共同推出用于65纳米

中芯国际(SMIC)和Cadence 共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 完全集成的能效型流程令快速、轻松地设计低功耗尖端器件成为可能
2009-10-31 07:48:011228

中芯国际(SMIC)和Cadence共同推出用于65纳米的低

中芯国际(SMIC)和Cadence共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 全球电子设计创新领先企业Cadence设计系统公司今天宣布推出一款全面的低功耗设计流程,面向
2009-11-04 17:05:17589

产能利用率下滑,鼓励员工多休假#芯片制造芯片制造

芯片制造行业资讯
新知录发布于 2022-10-26 14:33:46

尔必达40纳米制程正式对战美光

尔必达40纳米制程正式对战美光 一度缺席全球DRAM产业50纳米制程大战的尔必达(Elpida),随著美光(Micron)2010年加入50纳米制程,尔必达状况更显得困窘,在经过近1年卧薪尝
2010-01-08 12:28:52554

传苹果大砍A16/15芯片订单

行业资讯
电子发烧友网官方发布于 2022-11-02 11:44:19

台积电16纳米FinFET制程明年到来

台积电在10月16日的年度大会中,宣布制订了20nm平面、16nmFinFET和2.5D发展蓝图。台积电也将使用ARM的第一款64位元处理器V8来测试16nmFinFET制程
2012-10-23 09:18:54810

Cadence采用FinFET技术流片14纳米芯片

该14纳米产品体系与芯片是ARM、Cadence与IBM之间在14纳米及以上高级工艺节点上开发系统级芯片(SoC)多年努力的重要里程碑。使用FinFET技术以14纳米标准设计的SoC能够大幅降低功耗。 这
2012-11-16 14:35:551270

运用FinFET技术 14nm设计开跑

虽然开发先进微缩制程的成本与技术难度愈来愈高,但站在半导体制程前端的大厂们仍继续在这条道路上努力着。Cadence日前宣布,配备运用IBM的FinFET制程技术而设计实现之ARM Cortex-M0处理
2012-11-17 10:29:36844

Cadence和GLOBALFOUNDRIES合作改进20及14纳米节点DFM签收

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)近日宣布,GLOBALFOUNDRIES已携手Cadence®,为其20和14纳米制程提供模式分类数据
2013-05-13 10:20:02770

Cadence收购Evatronix IP业务,进军云端市场

、MIPI与储存控制器,与Cadence IP阵容高度互补,Cadence能够给设计者带来最大的效益和最大的差异化。此次收购是对Cadence IP产品组合上完整的补充。
2013-05-20 09:27:462397

Cadence与GLOBALFOUNDRIES宣布最新合作成果

益华电脑宣布,晶圆代工业者GLOBALFOUNDRIES已经认证Cadence实体验证系统适用于65nm至14nm FinFET制程技术的客制/类比、数位与混合讯号设计实体signoff。同时
2014-03-25 09:33:50862

Cadence宣布推出基于台积电16纳米FinFET制程DDR4 PHY IP

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,立即推出基于台积电16纳米FinFET制程的DDR4 PHY IP(知识产权)。
2014-05-21 09:44:541769

台积电采用CadenceFinFET单元库特性分析解决方案

全球知名电子设计创新领先公司Cadence设计系统公司 (NASDAQ: CDNS),今日宣布台积电采用了Cadence®16纳米FinFET单元库特性分析解决方案。
2014-10-08 19:03:221594

Cadence数字与定制/模拟工具获台积电认证 合作开发FinFET新工艺

设计参考手册(Design Rule Manual,DRM) 与SPICE认证,相比于原16纳米FinFET制程,可以使系统和芯片公司通过此新工艺在同等功耗下获得15%的速度提升、或者在同等速度下省电30%。
2014-10-08 19:10:45663

Cadence IP组合和工具支持台积电新的超低功耗平台

美国加州圣何塞,2014年9月30日 ─ 全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布其丰富的IP组合与数字和定制/模拟设计工具可支持台积电全新的超低功耗(ULP)技术平台。
2014-10-08 19:24:35907

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:541026

ARM携手台积电打造多核10纳米FinFET测试芯片 推动前沿移动计算未来

  2016年5月19日,北京讯——ARM今日发布了首款采用台积电公司(TSMC)10纳米FinFET工艺技术的多核 64位 ARM®v8-A 处理器测试芯片。仿真基准检验结果显示,相较于目前常用于多款顶尖智能手机计算芯片的16纳米FinFET+工艺技术,此测试芯片展现更佳运算能力与功耗表现。
2016-05-19 16:41:50662

# #冷战 张忠谋回母校演讲称:应避免冷战

行业资讯
深圳市浮思特科技有限公司发布于 2023-10-26 17:17:08

台积电加速10纳米制程产量 有望超过16纳米

台积电于美国举办年度技术论坛时表示,预估今年10纳米制程产量将达40万片12寸晶圆,2019年之后,10纳米及7纳米的晶圆产量合计将达到120万片,其中,10纳米晶圆今年产能即可望超过16纳米
2017-03-22 01:00:38947

什么是FinFETFinFET的工作原理是什么?

在2011年初,英特尔公司推出了商业化的FinFET,使用在其22纳米节点的工艺上[3]。从IntelCorei7-3770之后的22纳米的处理器均使用了FinFET技术。由于FinFET具有
2018-07-18 13:49:00119524

三星FinFET制程被判侵权,将赔偿4亿美元

韩国三星为了多元化营收来源,这两年晶圆代工业务为重点发展项目,2018年率先推出7纳米EUV制程,抢在台积电、格罗方德与英特尔之前推出。但三星如今似乎“吃紧弄破碗”,FinFET制程惹上了麻烦。根据
2018-06-20 14:19:002262

中芯14纳米FinFET制程良率达95%,预计2019量产

中芯国际最新的14纳米FinFET制程已接近研发完成阶段,其试产的良率已经可以达到95%的水准,距离2019年正式量产的目标似乎已经不远
2018-07-06 15:23:523383

ANSYS宣布14纳米FinFET制程技术获联电认证

ANSYS宣布其ANSYS RedHawk和ANSYSR Totem获联华电子(UMC)的先进14纳米FinFET制程技术认证。ANSYS和联电透过认证和完整套装半导体设计解决方案,支援共同客户满足下一代行动和高效能运算(HPC)应用不断成长的需求。
2018-07-17 16:46:003391

格芯退出7纳米制程或导致IBM订单转交台积电

晶圆代工大厂格芯在28日宣布,无限期停止7纳米制程的投资与研发,转而专注现有14/12纳米FinFET制程,及22/12纳米FD-SOI制程
2018-08-30 15:33:002110

Qualcomm将推出采用7纳米制程的SoC

Qualcomm 近日宣布,公司即将推出的旗舰移动平台将是采用7 纳米制程工艺的系统级芯片(SoC)。可与Qualcomm®骁龙™ X50 5G 调制解调器搭配,该 7 纳米 SoC 预计将成为面向顶级智能手机和其他移动终端而打造的、首款支持 5G 功能的移动平台。
2018-08-26 10:21:313157

GlobalFoundries推出强化型55纳米CMOS逻辑制程

奈米 (nm) 低功耗强化型 (LPe)制程技术平台进行了最新技术强化, 推出具备ARM公司合格的下一代存储器和逻辑IP解决方案的 55nm LPe 1V 。“55nm LPe 1V”是业内首个且唯一支持ARM 1.0/1.2V物理IP库的强化型
2018-09-25 09:24:02279

格芯表示退出7纳米制程不一定是坏事 12纳米以下制程规模依然稳固

先进制程的研发令人有些惋惜,不过格芯倒是显得稳重、平和。日前举行的GTC大会,格芯还是强调先进制程不是市场唯一方向,当前旗下22纳米FD-SOI制程,以及14/12纳米FinFET制程依然大有市场。
2018-09-27 16:14:004321

台积电在7纳米以下先进制程已无对手,2019年苹果A13芯片大单续由台积电通吃

台积电于2018年4月率先进入7纳米制程世代,将是首家真正量产7纳米EUV制程的晶圆代工业者,未来在5纳米制程世代,恐将只有1~2家业者有能力持续前进,全球第二大晶圆代工厂GlobalFoundries便已宣布暂缓高达百亿美元的7纳米FinFET计划。
2018-10-12 17:17:585421

格芯回应为何搁置7纳米FinFET项目

三个月前,晶圆代工大厂格芯突然宣布搁置7纳米FinFET项目,业内哗然。在台积电、三星等竞争对手正在努力抢占7nm制程市场之时,格芯为何作出此举?放弃7nm制程后,格芯未来的路又将走向何方?这是业界关心的问题。
2018-12-03 14:30:562838

台积电宣布推出6纳米制程技术 支援客户采用此项崭新的技术来达成产品的效益

就在16日一早,韩国晶圆代工厂三星宣布发展完成 5 纳米制程,并且推出 6 纳米制程,并准备量产 7 纳米制程的同时,晶圆代工龙头台积电也在傍晚宣布,推出 6 纳米 (N6) 制程技术,除大幅强化
2019-04-17 16:42:502440

三星预计在2021年推出3纳米制程产品 未来将与台积电及英特尔进行抗衡

在先进制程的发展上,台积电与三星一直有着激烈的竞争。虽然,台积电已经宣布将在 2020 年正式量产 5 纳米制程。不过,三星也不甘示弱,预计透过新技术的研发,在 2021 年推出 3 纳米制程的产品
2019-05-15 16:38:323270

通过芯片工艺和架构为所有产品组合实现高功率效率

UltraScale+ 器件系列以低功耗半导体工艺(TSMC 16 纳米FinFET+)为基础,与 7 系列 FPGA 及 SoC 相比,能将整体器件级电源节省达 60%。架构改进。
2019-08-01 15:46:331252

纳米制程是什么?

三星以及台积电在先进半导体制程打得相当火热,彼此都想要在晶圆代工中抢得先机以争取订单,几乎成了 14 纳米16 纳米之争,然而 14 纳米16 纳米这两个数字的究竟意义为何,指的又是哪个部位?而在缩小制程后又将来带来什么好处与难题?以下我们将就纳米制程做简单的说明。
2019-10-14 10:38:5113761

三星表示正在将14纳米FinFET制程导入到1.44亿像素影像传感器的生产上

的14纳米FinFET制程导入到未来的1.44亿像素影像传感器的生产上,藉此以生产高效能,且低耗能的影像传感器。
2019-12-18 11:38:551906

楷登电子发布PCIe 6.0规范Cadence IP

应用提供优化的性能和吞吐量。面向 PCIe 6.0 的 Cadence IP 的早期采用者现在可以使用相应的设计套件。 Cadence 的这款 5 纳米 PCIe 6.0 PHY 测试芯片在所有 PCIe 速率下都表现出了出
2021-10-26 14:28:004024

Cadence推出15种新验证 IP(VIP)解决方案

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布推出 15 种新的验证 IP(VIP)解决方案,助力工程师迅速有效地验证设计,以满足最新标准协议的要求。
2022-06-06 11:18:212665

Cadence提供集成PHY和控制器 IP完整子系统

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,众多领先的半导体和系统客户已成功采用面向 TSMC 5nm 制程技术的全系列 Cadence® 设计 IP 产品。
2022-06-24 14:52:461585

智原科技推出支援多家晶圆厂FinFET工艺的芯片后端设计服务

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE: 3035)今日推出支援多家晶圆厂FinFET工艺的芯片后端设计服务(design implementation service),由客户指定制程(8纳米、7纳米、5纳米及更先进工艺)及生产的晶圆厂。
2022-10-25 11:52:17724

Cadence成功流片基于台积电N3E工艺的16G UCIe先进封装 IP

来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于台积电 3nm(N3E)工艺技术的 Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
2023-04-27 16:35:40453

行业首创!恩智浦携手台积电,推出汽车级16纳米FinFET嵌入式MRAM

恩智浦和台积电联合开发采用台积电16纳米FinFET技术的嵌入式MRAM IP  借助MRAM,汽车厂商可以更高效地推出新功能,加速OTA升级,消除量产瓶颈 恩智浦计划于2025年初推出采用该技术
2023-05-26 20:15:02396

Cadence 与 Samsung Foundry 达成多年期协议以扩展其设计 IP 产品组合

高级存储器接口 IP 解决方案扩展到 SF3 并支持具有丰富接口协议的完整 SF5A 设计 IP 组合 中国上海,2023 年 6 月 16 日——楷登电子(美国 Cadence 公司,NASDAQ
2023-06-16 12:15:06412

Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Cadence 和 Intel 共同发布
2023-07-14 12:50:02381

Cadence扩大TSMC N3E制程IP产品组合推出新一代224G-LR SerDes IP,助力超大规模SoC设计

、64G-LR 多协议 PHY、LPDDR5x/5、GDDR7/6 和 UCIe 中国上海,2023 年 9 月 26 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布扩大其在 TSMC 3nm(N3E)制程上的设计 IP 产品组合,其中最引人注目
2023-09-26 10:10:01320

台积电领跑半导体市场:2纳米制程领先行业,3纳米产能飙升

台积电预期,目前营收总额约 70% 是来自 16 纳米以下先进制程技术,随着 3 纳米和 2 纳米制程技术的贡献在未来几年渐增,比重将会继续增加,预估未来成熟制程技术占营收总额将不超过 2 成。
2024-02-21 16:33:23321

已全部加载完成