电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>制造新闻>TSMC将为苹果提供AP/GPU集成的解决方案,并采用20nm SoC工艺

TSMC将为苹果提供AP/GPU集成的解决方案,并采用20nm SoC工艺

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

关于赛灵思(Xilinx) 20nm公告最新常见问题解答

电子发烧友网讯【编译/Triquinne】 :赛灵思公司(Xilinx)今天发布公告,宣布其20nm产品系列发展战略,包括下一代8系列All Programmable FPGA以及第二代3D IC和SoC20nm产品系列建立在业经验证
2012-11-14 15:32:291076

唱响2013,20nm FPGA背后蕴藏的巨大能量

20nm能让我们超越什么?对于像赛灵思(Xilinx)这样刚刚在28nm上花了巨资量产的公司,为什么又要去追20nm呢?20nm FPGA会带给我们什么样的科技进步?20nm FPGA背后到底蕴藏了哪些巨大能量?
2013-01-22 08:36:341317

苹果将于2014年采用台积电20nm工艺芯片?

 台积电(TSMC)的高管对即将来临的20nm芯片生产与销售信心满满,台积电CEO张忠谋上周就曾做过一个预测,他说最新的20nm工艺芯片2014年的成绩会比先前28nm芯片头两年卖得还要好。
2013-01-23 08:57:45699

赛灵思推出多项20nm第一 继续保持领先一代优势

赛灵思公司今天宣布下一代20nm All Programmable器件推出的三大里程碑事件。赛灵思20nm产品系列建立在其业经验证的28nm突破性技术基础之上,在系统性能、低功耗和可编程系统集成方面拥有着领先一代的优势。
2013-01-31 15:52:16893

台积电本月将安装20nm制造设备,2014年量产

台积电的20nm芯片生产设施或将与本月20日开始安装,有可能在今年第2季度末期拿出20nm SoC产品样品,正常情况下将在2014年进入量产。
2013-04-07 09:41:26910

20nm器件的32Gbps收发器到位 Altera FPGA再立里程碑

Altera公司今天宣布,公司展出了业界首款具有32-Gbps收发器功能的可编程器件,在收发器技术上树立了另一关键里程碑。此次展示使用了基于TSMC 20SoC工艺技术的20 nm器件,该成果证实了20nm硅片的性能。
2013-04-09 10:38:431249

明导电子CEO:20nm工艺后 摩尔定律或失效

Mentor CEO认为:进入20nm、14/16nm及10nm工艺时代后,摩尔定律可能会失效,每个晶体管成本每年的下降速度不到30%,这导致企业面临的成本挑战会更加严峻。
2013-09-20 10:06:001635

Xilinx 推出拥有ASIC级架构和ASIC增强型设计方案20nm All Programmable UltraScale产品系列

All Programmable FPGA、SoC和3D IC的全球领先企业赛灵思公司 (Xilinx, Inc. (NASDAQ:XLNX) )今天宣布推出其20nm All Programmable UltraScale™产品系列,并提供相关产品技术文档和Vivado®设计套件支持。
2013-12-10 22:50:33935

苹果A8处理器最新消息:采用TSMC 20nm制程工艺

有消息称,这款苹果A8芯片将会采用台积电的20nm制程工艺。出于货源稳定性的考虑,不会采用年底更为超前的16nm。尽管16nm的芯片会在明年正式量产,但是产能和技术上仍不慎稳定。
2013-12-16 08:56:431870

高通骁龙810抢先看:64位八核,20nm工艺

 苹果A7处理器推出后,高通也迅速推出了64位移动处理器骁龙410,由于该处理器定位中低端,因此,它的风头反被NVIDIA推出的Tegra K1所抢去。对此,外媒传来消息称,高通将在2014年下半年推出高端产品骁龙810,其将采用20nm工艺制造,GPU也升级为Adreno 430。
2014-01-23 09:35:182462

苹果A8处理器,可能是个五核CPU?

,台积电方面最新消息也表示,20nm制程技术准备进度比市场预期还要快,已经顺利达成苹果要求,为下一代iPhone和iPad制作A系列处理器。除采用20纳米技术外,有消息称,苹果A8处理器还将采用封装体叠层技术(PoP)SoC解决方案,既将处理器和移动DRAM集成在一个封装中。
2014-04-11 07:44:312584

后摩尔定律时代,SoC设计者应关注的新方向

随着摩尔定律的失效以及20nm、16nm和14nm工艺变得越来越昂贵,系统级芯片(SoC)的成本下降必须在更加成熟的工艺和既定的方法条件下进行设计创新才能实现。
2014-09-23 09:21:05936

AMD明年GPU越过20nm直奔14nm

据报道AMD明年代号“北极群岛”的GPU家族将完全跳过有问题的20nm工艺节点,北极群岛系列GPU将直接采用14nm FinFE工艺生产,希望实现更高的效率。
2015-04-24 11:15:501150

16nm工艺的麒麟650也不是吃干饭的料!

在目前市面上常见的SoC中,主要以28nm20nm、16nm和14nm这4种制程为主,每种制程根据生产工艺不同还衍生出很多版本,比如28nm工艺,先后就有LP、HPM、HPC、HPC+四种版本。
2016-05-18 10:52:364402

三星vs台积电 7nm工艺谁能领先一步?

三星与台积电工艺之战从三星跳过20nm工艺而直接开发14nmFinFET打响,从10nm到如今的7nm之争,无论谁领先一步,都是半导体工艺的重大突破。 在半导体代工市场上,台积电一直都以领先的工艺
2017-03-02 01:04:491675

ARM成功流片20nm Cortex-A15多核芯片

此前曾经报道ARM的下一代架构Cortex A15将提供双倍于Cortex A9的性能,产品采用TSMC的28nm工艺,不过就在今天ARM和TSMC联合宣布已经成功流片20nm ARM Cortex-A15 MPCore芯片。
2011-10-19 09:10:401463

3nm 工艺的产量比 5nm 工艺提升 30% 或用在苹果A17 芯片

苹果的主要芯片供应商台积电(TSMC)有望在今年下半年开始风险生产 3nm 制造工艺,届时该晶圆厂将有能力处理 3 万片使用更先进技术打造的晶圆。
2021-03-02 10:00:152864

TSMC350nm工艺库是不是不太适合做LC-VCO啊?

想问一下,TSMC350nm工艺库是不是不太适合做LC-VCO啊,库里就一个电容能选的,也没有电感可以选。(因为课程提供工艺库就只有这个350nm的,想做LC-VCO感觉又不太适合,好像只能做ring-VCO了)请问350nm有RF工艺嘛,或者您有什么其他的工艺推荐?
2021-06-24 08:06:46

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?求大神解答
2021-06-23 07:33:12

Altera Cyclone V SoC器件的完整电源解决方案

描述 PMP9353 参考设计是 Altera Cyclone V SoC 器件的完整电源解决方案。此设计使用多个 LMZ3 系列模块、两个 LDO 和一个 DDR 终端稳压器提供SoC 芯片
2022-09-26 07:58:34

Altera® Cyclone® V SoC FPGA 电源解决方案

描述PMP9353 参考设计是 Altera Cyclone V SoC 器件的完整电源解决方案。此设计使用多个 LMZ3 系列模块、两个 LDO 和一个 DDR 终端稳压器提供SoC 芯片供电
2015-05-11 16:45:44

Altera率先交付高性能28nm FPGA量产芯片

Altera公司近期宣布,开始交付业界第一款高性能28-nm FPGA量产芯片。Stratix V FPGA是唯一使用TSMC 28HP工艺制造的FPGA,比竞争解决方案高出一个速率等级
2012-05-14 12:38:53

Socionext推出适用于5G Direct-RF收发器应用的7nm ADC/DAC

,Socionext推出全新Direct-RF IP,该IP采用TSMC 7nm FinFET(N7)工艺设计,能在单芯片(Single die)上直接集成32TRX和64TRX,相较于市面上采用分立器件
2023-03-03 16:34:39

XX nm制造工艺是什么概念

XX nm制造工艺是什么概念?为什么说7nm是物理极限?
2021-10-20 07:15:43

Xilinx UltraScale 系列发布常见问题汇总

有没有扩展UltraScale产品系列的计划?  除了采用台积电公司(TSMC20nm SoC工艺技术构建的Kintex和Virtex UltraScale器件之外,赛灵思还将推出采用台积电16nm
2013-12-17 11:18:00

Xilinx Ultrascale 16nm FPGA/SoC电源解决方案

采用与 PMBus 兼容的 20A 集成 FET 降压转换器,从而为其余电源提供所需的电源轨来为 FPGA 供电。此外还具有两个用于灵活加电和断电排序的 LM3880。此设计采用 12V 输入电压
2018-11-19 14:58:25

Xilinx(r) Ultrascale(r) 16nm FPGA/SoC 电源解决方案

采用与 PMBus 兼容的 20A 集成 FET 降压转换器,从而为其余电源提供所需的电源轨来为 FPGA 供电。此外还具有两个用于灵活加电和断电排序的 LM3880。此设计采用 12V 输入电压
2015-05-11 10:46:35

三星宣布:DRAM工艺可达10nm

三星电子近日在国际学会“IEDM 2015”上就20nm工艺的DRAM开发发表了演讲。演讲中称,三星此次试制出了20nm工艺的DRAM,表示可以“采用同样的方法,达到10nm工艺”。 国际电子器件
2015-12-14 13:45:01

如何利用FPGA满足电信应用中的降低功耗要求?

复杂器件专业技术相结合,将为系统供应商提供低功耗的芯片方案,供他们在此基础上持续提高带宽容量,完成更智能的处理。此外,TPACK提供的芯片解决方案可以导入到最新的FPGA中,进一步降低功耗。最终实现
2019-07-31 07:13:26

TSMC90nm工艺库,请问可以分享一下吗?

TSMC90nm工艺库,请问可以分享一下吗?
2021-06-22 06:21:52

求一份tsmc 7nm standard cell library

求一份tsmc 7nm standard cell library求一份28nm或者40nm 的数字库
2021-06-25 06:39:25

求适合SoC20V输入至1V、15A输出的解决方案

适合SoC20V输入至1V、15A输出解决方案LTC7151S的主要参数
2021-03-11 06:22:28

用于SoC和μP应用的高效率20A单片静音开关稳压器

SoC20 V输入解决方案该LTC7150S提出了用于工业和汽车电源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和热管理功能可在高达20V的输入电压下实现高达20A的电流可靠
2019-03-09 11:46:55

用于SoC和μP应用的高效率20A单片静音开关稳压器

20用于SoC20 V输入解决方案该LTC7150S提出了用于工业和汽车电源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和热管理功能可在高达20V的输入电压下实现高达20
2018-09-13 14:28:48

用于SoC20V输入的20A解决方案

的DDR3和LPDDR4,以及5V,3.3V和1.8V的外设和辅助组件。此外,先进的SoC需要比传统PWM控制器和MOSFET所能提供的更高的性能。因此,必要的解决方案必须更紧凑,具有更高的电流能力,更高
2018-12-26 09:17:59

畅谈20 nm技术发展前景

,单片无源组件,以及静电放电保护结构等,成本差距要比仅采用高密度逻辑的SoC大得多。非常简单的是,对于SoC移植到20 nm,应该有一些优点——集成、性能、能效,以及IP应用等,要优于28 nm。否则
2014-09-01 17:26:49

给Altera Arria 10 FPGA和Arria 10 SoC供电:经过测试和验证的电源管理解决方案

内核供电,这有几个特点以配合 SoC 的节能方案: Arria 10 的 SmartVID 采用 DC/DC 稳压器的集成型 6 位并行 VID 接口,以控制 DC/DC 稳压器以及降低静态和动态状态
2018-10-29 17:01:56

设计理念 # 1: 用于SoC和μP应用的高效率20A单片静音开关稳压器

A的电流可靠连续传输,无需散热或气流,是工业,运输和汽车应用中SoC,FPGA,DSP,GPU和μP的理想选择。图1显示了采用1MHz时LTC7150S开关的SoC和CPU功耗的20A解决方案的1.2V
2018-09-25 09:34:50

请问FPGA中的nm是什么意思?

像我们看到的Xilinx 28nm Virtex 7 28mm或者20nm 的UltraScale啊。nm在FPGA里面具体指什么呢
2018-10-08 17:18:18

适用于FPGA、GPU和ASIC系统的电源管理

FPGA、ASIC、GPU 和微处理器以及采用这些及其他数字组件的系统之要求。利用经过验证的电源管理解决方案设计电源管理电路,将确保项目从一开始就很有把握。这是让设计方案从原型阶段快速进入生产阶段的关键
2018-10-15 10:30:31

锐成芯微宣布在22nm工艺上推出双模蓝牙射频IP

40nm工艺节点推出蓝牙IP解决方案,并已进入量产。此次推出的22nm双模蓝牙射频IP将使得公司的智能物联网IP平台更具特色。结合锐成芯微丰富的模拟IP、存储IP、接口IP、IP整合及芯片定制服务、专业及时的技术支持,锐成芯微期待为广大物联网应用市场提供更完善的技术解决方案
2023-02-15 17:09:56

面向移动通信无线基站的Xilinx(r) Ultrascale(r) 16nm FPGA/SoC电源解决方案

IC 采用与 PMBus 兼容的 20A 集成 FET 降压转换器,从而为其余电源提供所需的电源轨来为 FPGA 供电。此外还具有两个用于灵活加电和断电排序的 LM3880。此设计采用 12V 输入
2022-09-28 06:56:35

虹晶提供基于特许65nm LPe制程的SoC方案

虹晶提供基于特许65nm LPe制程的SoC方案 虹晶科技(Socle Technology)即日起提供基于特许半导体65nm低功耗强化(65nm LPe)制程系统单芯片平台解决方案(SoC Platform Solution)。此一解
2009-11-04 17:03:061003

台积电又跳过22nm工艺 改而直上20nm

台积电又跳过22nm工艺 改而直上20nm 为了在竞争激烈的半导体代工行业中提供最先进的制造技术,台积电已经决定跳过22nm工艺的研
2010-04-15 09:52:16867

GlobalFoundries流片20nm测试芯片

GlobalFoundries日前试产了20nm测试芯片,该芯片采用Cadence,Magma,Mentor Graphics和Synopsys的设计工具。此次试制的测试芯片使用了双重图形(Double Patterning),每家EDA合作伙伴都提供了大量的布局
2011-09-01 09:53:111269

TSMC拟大幅提升28nm晶元售价

虽然TSMC对于旗下28nm工艺依然保持着较为保守的态度,但是根据近期非官方的报道,由于来自官户的需求不断提升,TSMC将会对28nm晶元进行提价。
2011-09-16 09:30:03955

珠海全志科技采用TSMC 55nm“半世代”工艺成功推出A10芯片平台

  珠海全志科技与TSMC今(26)日共同宣布,成功推出采用TSMC55纳米工艺生产的A10系列系统整合芯片(SoC)平台,藉由搭配珠海全志科技全新的Android 4.0.3 软件开发工具包(Software Devel
2012-03-27 08:52:402408

台积电:20nm仅会提供一种制程

  晶圆代工巨擘台积电(TSMC)日前表示,将在 20nm 节点提供单一製程,这与该公司过去针对不同製程节点均提供多种製程服务的策略稍有不同。
2012-04-22 11:09:441076

GlobalFoundries开始安装20nm TSV设备

  GlobalFoundries 已开始在纽约的 Fab 8 厂房中安装硅穿孔(TSV)设备。如果一切顺利,该公司希望在2013下半年开始採用 20nm 及 28nm 製程技术製造3D堆叠晶片。
2012-05-01 10:13:121039

台积电重金投入R&D 专注20与14nm工艺研发

据报道,2012年台积电准备为其R&D投入13亿美元,作为本年度资本支出预算中的一部分。去年,台积电的R&D预算首次突破10亿美元。而今年多出的30%将会用于20nm和14nm工艺研发。20nm工艺预计
2012-05-15 10:18:21675

台积电将于下月试产20nm芯片

据台湾媒体报道,台积电(TSMC)预计会在下月试产20nm芯片制程,即将成为全球首家进入20nm技术的半导体公司。若该芯片试产成功,将超越英特尔(Intel)的22nm制程,拉开与三星电子(
2012-07-18 09:44:33840

ARM和Globalfoundries联手研发20nm移动芯片

8月14日消息,ARM和芯片工厂Globalfoundries日前宣布,双方将联手研发20nm工艺节点和FinFET技术。 ARM之前和台积电进行了紧密合作,在最近发布了若干使用台积电28nm工艺节点制作的硬宏处理
2012-08-14 08:48:11636

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,台积电会继续先进工艺技术节点的投入和开发,今年年底台积电将推出20nm工艺
2012-08-30 14:34:301782

Altera公司20nm器件创新业界高性能

Altera公司昨日公开了在其下一代20nm产品中规划的几项关键创新技术。延续在硅片融合上的承诺,Altera向客户提供终极系统集成平台,以结合FPGA的硬件可编程功能、数字信号处理器和微
2012-09-07 09:25:04657

基于Altera 20nm及更小尺寸工艺的系统技术特性及功能

每一代硅片新技术既带来了新机遇,也意味着挑战,因此,当我们设计系统时,需要重新审视最初所作出的成本和功耗决定。20 nm以及今后的硅片技术亦是如此。 Altera在 20nm 制造节点的
2012-09-07 09:41:08477

台积电20nm制程获将用于苹果A7试产

台积电积极开发20nm制程,花旗环球证券指出,在技术领先优势下,未来1~2年内有机会独吞苹果(Apple)A7处理器订单。野村证券评估,台积电明年第1季开始试产A7,顺利的话,后年上半
2012-09-28 09:40:061048

Mentor的CalibreLFD获得TSMC20nm制造工艺认证

Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进行检查。光学临近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD获得TSMC20nm制造工艺认证

电子发烧友网核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进
2012-10-08 16:00:14915

Altera:20nm技术延续硅片融合承诺

近期,Altera发布其下一代20nm产品中规划的几项关键创新技术,延续在硅片融合上的承诺,克服了20nm设计五大挑战,实现了系统集成、串行带宽、DSP性能三大突破。
2012-10-16 11:29:101077

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

深入剖析FPGA 20nm工艺 Altera创新发展之道

电子发烧友网核心提示: 本文就可编程逻辑厂商阿尔特拉(Altera)公司首次公开的20nm创新技术展开调查以及深入的分析;深入阐述了FPGA迈向20nm工艺,Altera凭借其异构3D IC、高速收发器
2012-11-01 13:48:581993

赛灵思(Xilinx)解读20nm的价值:继续领先一代

电子发烧友网讯:关于摩尔定律的经济活力问题,有很多的讨论。在过去的一年中,20nm节点进入到这个辩论的前沿和中心。无论说辞如何,包括赛灵思在内的行业领导在20nm研发上的积极
2012-11-14 11:19:521196

NVIDIA选中台积电20nm 将制造“麦克斯韦”

据《韩国日报》报道,NVIDIA在新制造工艺上已经选中了台积电的20nm,双方的长期合作将继续深入下去,而这也意味着,NVIDIA代号麦克斯韦(Maxwell)的下代GPU仍将出自台积电之手。
2012-12-07 17:00:14839

抢占20nm制高点,Xilinx下一代产品优势全解析

Xilinx公布其在20nm产品的表现上还将保持领先一代的优势,究竟在20 nm制程上,Xilinx的产品有哪些演进使其保持领先竞争对手一代的优势?详见本文
2013-01-10 09:33:43961

赛灵思发布UltraScale架构,20nm开始投片

赛灵思公司今天宣布,延续28nm工艺一系列行业创新,在20nm工艺节点再次推出两大行业第一:投片半导体行业首款20nm器件,也是可编程逻辑器件(PLD)行业首款20nm All
2013-07-09 20:01:503807

Xilinx业界首款20nm All Programmable产品开始发货

(TWSE: 2330, NYSE: TSM)生产的半导体产业首款20nm产品,同时也是可编程逻辑器件(PLD)产业首款20nm All Programmable 产品。赛灵思UltraScale™器件采用
2013-11-12 11:24:051214

Altera的Arria 10版Quartus II软件为立即开始20 nm设计提供支持

2013年12月3号,北京——Altera公司(Nasdaq: ALTR)今天发布了Arria 10版Quartus II软件,这是业界第一款支持20 nm FPGA和SoC的开发工具。基于TSMC
2013-12-03 10:48:471607

20/16nm将成主流 先进工艺怎适应?

017年20nm、16nm及以下的先进工艺将成为主流,这对我们设计业、制造业是一个很大的启示:我们怎么样适应全球先进工艺
2013-12-16 09:40:211925

Mentor Graphics获得TSMC 10nm FinFET工艺技术认证

® Analog FastSPICE™ 电路验证平台已完成了电路级和器件级认证,Olympus-SoC™ 数字设计平台正在进行提升,以帮助设计工程师利用 TSMC 10nm FinFET 技术更有效地验证和优化其设计。10nm V1.0 工艺的认证预计在 2015 年第 4 季度完成。
2015-09-21 15:37:101300

Mentor Graphics 提供TSMC 集成扇出型封装技术的支持

 WILSONVILLE, Ore., 2016年3月15日— Mentor Graphics公司(纳斯达克代码:MENT)今天发布了一款结合设计、版图布局和验证的解决方案,为TSMC集成扇出型 (InFO) 晶圆级封装技术的设计应用提供支持。
2016-03-15 14:06:02988

三星/TSMC/Intel/AMD争先恐后研发7nm

2016年半导体的主流工艺是14/16nm FinFET工艺,主要有Intel、TSMC及三星/GlobalFoundries(格罗方德)三大阵营,下一个节点是10nm,三方都会在明年量产,不过
2016-05-30 11:53:53858

使用Xilinx 20nm工艺的UltraScale FPGA来降低功耗的19种途径

在绝大部分使用电池供电和插座供电的系统中,功耗成为需要考虑的第一设计要素。Xilinx决定使用20nm工艺的UltraScale器件来直面功耗设计的挑战,本文描述了在未来的系统设计中,使用Xilinx 20nm工艺的UltraScale FPGA来降低功耗的19种途径。
2018-07-14 07:21:005058

20nm技术的发展应景

  20nm会延续摩尔定律在集成上发展趋势,但是要付出成本代价。2.5D封装技术的发展,进一步提高了集成度,但是也增大了成本,部分解决了DRAM总线电源和带宽问题,在一个封装中集成了种类更多的IC。随着系统性能的提高,这一节点也增加了体系结构的复杂度。目前为止,它也是功耗管理最复杂的节点。
2017-09-15 09:54:3010

浅析TSMC和FinFET工艺技术的Mentor解决方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工艺的认证。Nitro-SoCTM 布局和布线系统也通过了认证,可以支持 TSMC 的 12FFC 工艺技术。
2017-10-11 11:13:422372

赛灵思业界20nm技术首次投片标志着UltraScale架构时代来临

在28nm技术突破的基础上,赛灵思又宣布推出基于20nm节点的两款业界首创产品。赛灵思是首家推出20nm商用芯片产品的公司。此外,该新型器件也是赛灵思将向市场推出的首款采用UltraScale技术
2018-01-12 05:49:45706

三星和台积电抢夺苹果A13肥单_台积电7nm工艺进度提前拿下大单

在三星和台积电的抢夺苹果订单过程中,台积电近年凭借优异的晶圆代工技术和庞大产能,在20nm、10nm及7nm三个工艺阶段全都拿下了苹果大单,全面领先三星。而三星从未言败一直密谋分食订单,7nm工艺
2018-04-07 00:30:008927

Platform 中的多项工具已通过TSMC最新版5nm FinFET 和 7nm FinFET Plus 工艺的认证

。Mentor 的工具和 TSMC 的新工艺将协助双方共同客户更快地为高增长市场提供芯片创新。 TSMC 设计基础架构营销部资深总监 Suk Lee 表示:“Mentor 通过提供更多功能和解决方案来支持我们最先进的工艺,持续为TSMC 生态系统带来了了更高的价值。
2018-05-17 15:19:003391

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

7-nm FinFET Plus工艺的极紫外光刻技术,IC Compiler II 进行了专门的优化,进一步节省芯片面积。 采用TSMC的Wafer-on-Wafer(WoW)技术,平台内全面支持
2018-05-17 06:59:004461

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

Synopsys Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII
2018-06-01 09:35:003784

苹果A13芯片继续采用7nm工艺:台积电代工

如果没有意外,苹果今年的旗舰手机将会配备台积电生产的A12芯片,该芯片采用7nm工艺,在目前位置已经算非常先进了。不过最新消息称,苹果下代A13芯片,还是会采用7nm芯片。
2018-06-28 10:46:214269

中芯国际在先进工艺制程上可望加快追赶海外企业的速度

20nm工艺导致仅有华为海思等有限的两个客户采用,直到2015年引入FinFET工艺发展成为16nmFinFET工艺才获得了包括苹果A9处理器等芯片的订单,广受芯片企业的认可,可见FinFET工艺的重要性。
2018-09-02 09:00:133310

新思科技推出基于TSMC 7nm FinFET工艺技术的汽车级IP

基于7nm工艺技术的控制器和PHY IP具有丰富的产品组合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IP。 IP解决方案支持TSMC 7nm工艺技术所需的先进汽车设计规则,满足可靠性和15年汽车运行要求。
2018-10-18 14:57:216541

Synopsys推出支持TSMC 7nm工艺技术

级IP进一步扩展了新思科技FinFET工艺的ISO 26262 ASIL Ready IP解决方案的产品组合,并已被十余家领先的汽车厂商所采用。该IP满足严格的AEC-Q100温度要求,为汽车芯片提供
2018-11-13 16:20:231517

三星发布第二款采用8nm工艺打造的SoC芯片

继Exynos 9820后,三星电子今天(1月3日)发布第二款采用8nm工艺打造的SoC芯片产品。
2019-01-04 14:24:243498

Intel Arria ARM Cortex 20nm SoC FPGA上的8个电源开启顺序的确定

ADI Guneet Chadha探讨电源系统管理(PSM)如何确定Intel Arria ARM Cortex 20nm SoC FPGA上8个电源的时序或按照预定顺序开启各电源
2019-07-24 06:16:001618

Xilinx宣布与TSMC开展7nm工艺合作

“台积公司是我们在 28nm20nm 和 16nm 实现‘三连冠(3 Peat)’成功的坚实基础。其出色的工艺技术、3D 堆叠技术和代工厂服务,让赛灵思在出色的产品、优异的品质、强大的执行力以及领先的市场地位上享有了无与伦比的声誉。
2019-08-01 09:24:522209

Xilinx投片首个ASIC级可编程架构的行业首款20nm器件

赛灵思UltraScale架构:行业第一个ASIC级可编程架构,可从20nm平面晶体管结构 (planar)工艺向16nm乃至FinFET晶体管技术扩展,从单芯片(monolithic)到3D IC扩展。
2019-12-18 15:30:23801

新思科技与TSMC合作为封装解决方案提供经认证的设计流程

重点 ● TSMC认证基于新思科技3DIC Compiler统一平台的CoWoS和InFO设计流程 ● 3DIC Compiler可提高先进封装设计生产率 ● 集成Ansys芯片封装协同分析解决方案
2020-10-14 11:11:212099

A14 Bionic芯片能否为苹果Mac的SoC提供想法?

苹果公司的A14 Bionic SoC由118亿个晶体管组成,采用台积电(TSMC)的N5(5nm工艺技术制成。该芯片封装了六个通用处理内核,其中包括两个高性能FireStorm内核和四个IceStorm内核。SoC具有四集群GPU,具有11 TOPS性能的16核神经引擎以及各种专用加速器。
2020-10-30 14:32:521833

紫光展锐发布了首款采用SoC单芯片设计的5G方案

今年2月,紫光展锐发布了首款采用SoC单芯片设计的5G方案“虎贲T7520”,采用了6nm EUV工艺制造,拥有多层极紫外光刻技术加持,相比初代7nm晶体管密度提高18%,芯片功耗则可降低8%。
2020-11-12 09:54:372444

三星在5nm工艺能否与台积电一较高下?

三星在上海正式发布旗下首款采用5nm工艺制程的手机处理器Exynos 1080,这是继苹果A14、海思麒麟9000之后,全球第三款5nm AP,也是业界第二款集成5G基带的5nm SoC
2020-11-20 09:57:2411345

Arasan宣布用于台积公司22nm工艺技术的eMMC PHY IP立即可用

中的eMMC PHY IP可与Arasan的eMMC 5.1主机控制器IP和软件无缝集成,从而为客户提供基于台积公司22nm工艺的完整eMMC IP解决方案。 Arasan凭借其D-PHY v1.1 IP @1.5ghz、D-PHY v1.2 IP @
2021-01-21 10:18:232385

郭明錤:苹果A16处理器仍将采用台积电5nm工艺

的N4P及N3工艺要到明年才能实现量产,而目台积电的N4工艺和N5P工艺相比不具备显著优势,,与其花费精力去采用N4工艺,不如再等一段时间直接在A16的下一代处理器上搭载最新工艺,故而苹果的A16处理器仍将使用5nm工艺。 虽然这次的A16还是采用
2022-05-30 16:29:011835

苹果M2 Pro和M3芯片将会采用台积电3nm工艺苹果或许没那么好心

今日,据DIGITIMES科技网报道称,苹果的M2 Pro和M3芯片将会采用台积电3nm制程工艺。 据了解,台积电将于今年下半年正式量产3nm芯片,而苹果已经为其M2 Pro和M3芯片预定
2022-06-29 16:34:042260

基于20nm工艺制程的FPGA—UltraScale介绍

UltraScale是基于20nm工艺制程的FPGA,而UltraScale+则是基于16nm工艺制程的FPGA。
2023-03-09 14:12:544129

已全部加载完成