电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>厂商新闻>Mentor CalibreLFD获得TSMC的20nm制造工艺认证

Mentor CalibreLFD获得TSMC的20nm制造工艺认证

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

旋转花键的制造工艺

旋转花键的制造工艺是一门精细的技术,涉及多个步骤和精细的操作,以确保最终产品的质量和性能,下面简单介绍下旋转花键的制造工艺
2024-03-16 17:39:1780

Nvidia芯片工艺先进封装演进洞察

根据IRDS的乐观预测,未来5年,逻辑器件的制造工艺仍将快速演进,2025年会初步实现Logic器件的3D集成。TSMC和Samsung将在2025年左右开始量产基于GAA (MBCFET)的2nm和3nm制程的产品 [17]。
2024-03-15 09:16:2752

Marvell将与台积电合作2nm 以构建模块和基础IP

Marvell将与台积电合作2nm 以构建模块和基础IP 张忠谋于1987年成立的台湾积体电路制造股份有限公司,简称:台积电,英文简称:TSMC。早在2022年底台积电就已经宣布3纳米制程工艺
2024-03-11 16:32:59258

Ansys多物理场签核解决方案获得英特尔代工认证

Ansys的多物理场签核解决方案已经成功获得英特尔代工(Intel Foundry)的认证,这一认证使得Ansys能够支持对采用英特尔18A工艺技术设计的先进集成电路(IC)进行签核验证。18A工艺技术集成了新型RibbonFET晶体管技术和背面供电技术,代表了半导体制造领域的一项重大突破。
2024-03-11 11:25:41254

无意发展至10nm以下,第二梯队晶圆代工厂的成熟工艺现状

电子发烧友网报道(文/周凯扬)半导体制造工艺经过多年的发展,已经有了翻天覆地的变化。但如果我们单从晶圆代工厂的工艺布局来看,就会发现变化并不算大,领头的台积电、三星等依然在加大先进工艺投入,而第二
2024-02-21 00:17:002598

苹果将抢先采用台积电2nm工艺,实现技术独享

例如,尽管iPhone 15 Pro已发布四个月,A17 Pro仍在使用台积电专有的3nm工艺。根据MacRumors的报告,这一趋势似乎仍将延续至2nm工艺
2024-01-26 09:48:34202

台湾半导体制造公司(TSMC)第二座亚利桑那工厂推迟开工

台湾半导体制造公司(TSMC)已经确认,由于仍在等待美国政府补助的确定,该公司
2024-01-20 11:30:00974

TSMC计划在印度建新晶圆厂:未来技术的重要布局?

印度和TSMC能否成功合作? 尽管观察家们质疑印度吸引先进芯片制造商的能力,但这是该国决心追求的目标,我们相信最终会实现。
2024-01-18 09:31:28377

台积电第二代3nm工艺产能颇受客户欢迎,预计今年月产量达10万片

据悉,台积电自2022年12月份起开始量产3nm工艺,然而由于成本考量,第一代3纳米工艺仅由苹果使用。其他如联发科、高通等公司则选择了4nm工艺
2024-01-05 10:13:06193

台积电第一家日本工厂即将开张:预生产28nm工艺芯片

这座晶圆厂于2022年4月开始新建,大楼主结构已完工,且办公室部分区域也在今年8月启用。将生产N28 28nm工艺芯片,这是日本目前最先进的半导体工艺。22ULP工艺也会在这里生产,但注意它不是22nm,而是28nm的一个变种,专用于超低功耗设备。
2024-01-03 15:53:27433

台积电3nm工艺预计2024年产量达80%

据悉,2024年台积电的第二代3nm工艺(称为N3E)有望得到更广泛运用。此前只有苹果有能力订购第一代N3B高端晶圆。经过解决工艺难题及提升产量后,台积电推出经济实惠的3nm版型,吸引更多企业采用。
2024-01-03 14:15:17279

高频基频(HFF)晶体芯片制造工艺

制造工艺晶体芯片
Piezoman压电侠发布于 2024-01-02 17:28:57

导远IMU5104成为首个获得ISO 26262功能安全认证的车载IMU模组产品

12月27日,导远电子获得DEKRA德凯颁发,国内首张高精度定位IMU模组ISO 26262 ASIL B功能安全产品认证证书,标志着导远IMU5104成为首个获得ISO 26262功能安全认证的车载IMU模组产品。
2023-12-27 17:07:27300

英特尔20A、18A工艺流片,台积电面临挑战

英特尔的Intel 20A和Intel 18A工艺已经开始流片,意味着量产阶段已经不远。而2nm工艺和1.8nm工艺的先进程度无疑已经超过了三星和台积电的3nm工艺
2023-12-20 17:28:52799

今日看点丨华为强烈反对,东方材料宣布终止收购鼎桥;传ASML将推出2nm制造设备 英特尔已采购6台

1. 传ASML 将在未来几个月推出2nm 制造设备 英特尔已采购6 台   近日有消息称,ASML将于未来几个月内推出2nm制程节点制造设备,并计划在2024年生产10台2nm设备,英特尔已采购
2023-12-20 11:23:51706

最高级别、行业首家、惠州独家 | 德赛西威获智能制造CMMM四级权威认证

岁末再传佳讯,12月14日, 德赛西威顺利获得智能制造能力成熟度(CMMM)四级认证 ,惠州市工信局副局长张世锌一行莅临德赛西威惠南工业园颁发证书,并表示祝贺。 该认证是目前国内智能制造领域最具
2023-12-15 16:05:03219

SK海力士获得ZWTL废弃物零填埋Platimum铂金级认证

2023年11月30日,SK海力士半导体(中国)有限公司(以下简称“无锡工厂”)获得由UL公司颁发的ZWTL废弃物零填埋Platimum铂金级认证,从而成为SK集团在中国首家获得铂金级认证的工厂。
2023-12-15 09:11:23299

今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm工艺制程研发已经
2023-12-14 11:16:00733

一文详解芯片的7nm工艺

芯片的7nm工艺我们经常能听到,但是7nm是否真的意味着芯片的尺寸只有7nm呢?让我们一起来看看吧!
2023-12-07 11:45:311591

22nm技术节点的FinFET制造工艺流程

引入不同的气态化学物质进行的,这些化学物质通过与基材反应来改变表面。IC最小特征的形成被称为前端制造工艺(FEOL),本文将集中简要介绍这部分,将按照如下图所示的 22 nm 技术节点制造 FinFET 的工艺流程,解释了 FEOL 制造过程中最重要的工艺步骤。
2023-12-06 18:17:331122

2nm意味着什么?2nm何时到来?它与3nm有何不同?

3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
2023-12-06 09:09:55693

极海APM32F107系列MCU获得USB-IF认证

极海APM32F107VCT6工业级互联型MCU成功获得USB-IF认证。该系列芯片符合USB装置品质要求并通过了兼容性测试,获USB2.0认证和USB标志的使用权。
2023-11-30 10:09:28308

22nm平面工艺流程介绍

今天分享另一篇网上流传很广的22nm 平面 process flow. 有兴趣的可以与上一篇22nm gate last FinFET process flow 进行对比学习。 言归正传,接下来介绍平面工艺最后一个节点22nm process flow。
2023-11-28 10:45:514232

DRAM的范式转变历程

DRAM制造技术进入10nm世代(不到20nm世代)已经过去五年了。过去五年,DRAM技术和产品格局发生了巨大变化。因此,本文总结和更新了DRAM的产品、发展和技术趋势。
2023-11-25 14:30:15536

三星D1a nm LPDDR5X器件的EUV光刻工艺

三星D1a nm LPDDR5X器件的EUV光刻工艺
2023-11-23 18:13:02579

gmId设计仿真及MOS管特性

tsmc180nm工艺的nmos2V为例,搭建gmId设计电路schematic如figure1:
2023-11-21 16:35:59883

今日看点丨消息称英伟达 RTX 50 显卡采用台积电 3nm 工艺;起亚称不放弃中国市场,正与百度研发车机系统

,英伟达当前的 RTX 40 显卡采用“TSMC 4N”工艺,没有说明具体是几纳米工艺,有报道称是定制的 5nm 工艺。英伟达官方表示,在 TSMC 4N 定制工艺技术加持下,RTX 40 系列 GPU
2023-11-20 11:05:44632

万华化学多款产品获得ISCC PLUS认证

近日,万华化学MDI、TDI、IPDI、PMMA、TPU和生物基聚醚六款产品获得国际可持续发展和碳认证(ISCC PLUS)
2023-11-16 09:14:20443

Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得认证的电磁(EM)求解器,成功达到三星的各项认证标准。双方的共同客户可以安心使用 EMX Solver 用
2023-11-15 15:55:02359

广和通智能模组SC126-NA获得北美主流运营商认证

。广和通智能模组SC126-NA获得北美主流运营商认证智能模组SC126-NA基于高通11nm制程工艺的QCM2290物联网解决方案设计,拥有四核64位Cortex
2023-11-14 18:10:33183

广和通智能模组SC126-NA获得北美主流运营商认证

近期,广和通4G智能模组SC126-NA获得北美主流通信运营商认证,这意味着SC126-NA可在北美LTE网络下为全球客户提供无线连网服务,帮助客户缩短终端上市认证时间并节省成本,快速抢占市场先机
2023-11-13 18:25:57114

广和通智能模组SC126-NA获得北美主流运营商认证

广和通要闻 近期,广和通4G智能模组SC126-NA获得北美主流通信运营商认证,这意味着SC126-NA可在北美LTE网络下为全球客户提供无线连网服务,帮助客户缩短终端上市认证时间并节省成本,快速
2023-11-13 18:15:02210

亿纬锂能获得多项国际认证

创新实力及技术沉淀,亿纬锂能已获得多项国际认证:2021年5月通过欧洲汽车工业协会信息安全最高等级TISAX评估
2023-11-11 09:42:15389

变频环境下,异步电机的转差是否会额外变大?

全套的西门子控制系统,电机也是西门子的变频电机(非贝得,2920rpm,48nm)。 因为设备本身装有扭矩,转速传感器。电机工作转速2000转,输出扭矩到20nm左右时,大概有50转的转速下降。当
2023-11-09 07:33:19

Telechips获得TISAX认证

韩国综合汽车半导体解决方案企业telechips (KOSDAQ:054450)获得了德国汽车工业协会(VDA)颁发的与信息安全相关的汽车行业标准——可信信息安全评估交换(TISAX®)认证
2023-11-07 14:44:10382

瞻芯电子正式获得IATF16949汽车质量管理体系认证

10月17日,瞻芯电子通过了第三方认证机构TUV的严格评审,正式获得IATF16949汽车质量管理体系认证,表明瞻芯电子碳化硅(SiC)晶圆厂的制造质量管理体系全面符合国际汽车行业质量管理标准,为持续、稳定量产高品质的车规级产品,提供了坚实保障。
2023-10-31 09:14:41734

伟创力吴中获得福特 Q1 认证荣誉

  近日,伟创力吴中获得福特 Q1 认证荣誉,这是美国福特汽车公司对伟创力吴中在产品质量、运营交付、服务能力和持续改进等关键领域的卓越表现的认可。在汽车行业,福特Q1(Quality is No.1
2023-10-31 09:13:55457

Socionext着手研发基于3nm车载工艺的ADAS及自动驾驶SoC

(System-on-Chip)。该产品预计于2026年开始量产。目前TSMC 3nm制程工艺已经正式量产,相较于早前的工艺,3nm制程工艺在功耗、性能,以及面积(PPA)方面都有了显著提升。目前的3nm N3E工艺与上一代
2023-10-30 11:11:44642

2nm芯片什么时候出 2nm芯片手机有哪些

N2,也就是2nm,将采用GAAFET全环绕栅极晶体管技术,预计2025年实现量产。 2nm芯片是指采用了2nm制程工艺制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 2nm芯片手机
2023-10-19 17:06:18799

2nm芯片是什么意思 2nm芯片什么时候量产

2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
2023-10-19 16:59:161958

2nm芯片工艺有望破冰吗?

芯片2nm
亿佰特物联网应用专家发布于 2023-10-11 14:52:41

Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程由 Cadence 和 TSMC 共同开发,旨在实现定制和模拟 IC 设计在 TSMC
2023-09-27 10:10:04301

台积电3nm月产能明年将增至10万片

台积电推出了世界上第一个3nm智能手机芯片apple a17 pro,该芯片也用于新款iphone 15 pro。据悉,tsmc到2023年为止,将只批量生产苹果的3nm工艺
2023-09-25 14:25:28616

什么是3nm工艺芯片?3nm工艺芯片意味着什么?

的大部分时间里,用于制造芯片的工艺节点的名称是由晶体管栅极长度的最小特征尺寸(以纳米为单位)或最小线宽来指定的。350nm工艺节点就是一个例子。
2023-09-19 15:48:434477

计算芯片要构筑在实际可获得的芯片制造工艺基础上

华为技术有限公司副总裁兼首席执行官徐直军9月15日在2023世界计算大会上表示,从计算产业的发展途径来看,只有大规模使用才能带动计算产业的进步和发展。计算芯片要建立在能够实际获得的芯片制造工艺基础上,
2023-09-18 10:57:17410

苹果2023年将获得台积电3nm 100%产能?

苹果用于 Mac 和 iPad 的 M3 芯片预计也将采用 ‌‌3nm‌‌ 工艺。首批 M3 设备预计将包括更新的 13 英寸MacBook Air和 24 英寸iMac,这两款设备最早可能于今年 10 月上市。
2023-09-14 12:57:00893

新思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

Compiler是统一的多裸晶芯片封装探索、协同设计和分析的平台,已经获得三星多裸晶芯集成工艺流程的认证。 全面和可扩展的新思科技多裸晶芯片系统能够实现从早期设计探索到芯片生命周期管理全流程的快速异构集成。 新思科技(Synopsys)近日宣布,与三星晶圆厂(以下简称为“三星”)深化合作,助
2023-09-14 09:38:28838

基于中芯国际40nm车规工艺的MCU发布——Z20K11xN

Z20K11xN采用国产领先半导体生产制造工艺SMIC 车规 40nm工艺,提供LQFP48,LQFP64以及LQFP100封装,CPU主频最大支持64MHz,支持2路带64个邮箱的CAN-FD通讯接口,工作电压3.3V和5V。
2023-09-13 17:24:081073

芯海科技CPW6410获得UFCS融合快充认证

8月24日,芯海科技(股票代码:688595)旗下的多快充协议Buck-Boost电源管理芯片CPW6410成功获得“融合快速充电功能认证证书”。该产品于近日在2023(秋季)亚洲充电展首发
2023-08-25 08:18:03437

半导体制造工艺之光刻工艺详解

半导体制造工艺之光刻工艺详解
2023-08-24 10:38:541221

AXI内部存储器接口的功能

该接口符合以下时序准则: ·AXI输入必须在CLK上升沿之前30%的周期内有效,输出必须在CLK上升沿后20%的周期内有效。 ·通过使用用于TSMC CL013G工艺的Artisan SAGE HS
2023-08-21 06:55:33

华邦电子W77Q安全闪存获得ISO/SAE 21434认证

全球半导体存储解决方案领导厂商华邦电子今日宣布,TrustME W77Q 安全闪存系列已获得权威认证——ISO/SAE 21434。华邦电子现为全球首家获得认证标准的内存供应商。
2023-08-09 11:08:52581

苹果拒绝为3nm工艺缺陷买单 台积电3nm按良率收费!

根据外媒报道,据称台积电新的3nm制造工艺的次品率约为30%。不过根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 15:59:27780

70%!台积电3nm按良率收费!

8月8日消息,据外媒报道,台积电新的3nm制造工艺的次品率约为30%,但根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 14:13:40491

Intel自曝:3nm工艺良率、性能简直完美!

Intel将在下半年发布的Meteor Lake酷睿Ultra处理器将首次使用Intel 4制造工艺,也就是之前的7nm,但是Intel认为它能达到4nm级别的水平,所以改了名字。
2023-08-01 09:41:50561

制造工艺对工业连接器的质量影响有多大

制造的关键在于精密性和可靠性,以确保连接器能够适应多种场景。同样的设计图纸,最后出来的产品质量却存在三六九等。其中连接器的制造工艺起到了非常重要的作用。好了,今天就来谈谈连接器制造工艺的话题。连接器
2023-08-01 00:25:12425

制造工艺对工业连接器的质量影响有哪些

CNLINKO 凌科电气 连接器知识分享 连接器制造的关键在于精密性和可靠性,以确保连接器能够适应多种场景。同样的设计图纸,最后出来的产品质量却存在三六九等。其中连接器的制造工艺起到了非常重要的作用
2023-07-31 16:09:44381

芯片工艺的"7nm" 、"5nm"到底指什么?

近几年,芯片产业越来越火热,一些行业内的术语大家也听得比较多了。那么工艺节点、制程是什么,"7nm" 、"5nm"又是指什么?
2023-07-28 17:34:335639

电机制造工艺关键技术有哪些

电动机的技术经济指标在很大程度上与其制造材料、制造工艺有关。在电动机制造厂中,同样的设计结构,同一批原材料所制成的产品,其质量往往相差甚大。没有先进的制造工艺技术,很难生产出先进的产品。今天我们来看看电机制造中的那些关键工艺
2023-07-21 17:19:25694

英特尔全新16nm制程工艺有何优势

英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺
2023-07-15 11:32:58757

电池保护IC是多少纳米工艺 锂电池保护板工作原理及应用案例

电池保护IC(Integrated Circuit)的纳米工艺并没有固定的规定或标准。电池保护IC的制造工艺通常与集成电路制造工艺一样,采用从较大的微米级工艺(如180nm、90nm、65nm等)逐渐进化到更先进的纳米级工艺(如45nm、28nm、14nm等)。
2023-07-11 15:42:371171

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20406

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP
2023-07-06 20:12:510

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP
2023-07-05 19:47:260

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP
2023-07-05 19:45:561

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

,2023 年 6 月 30 日——楷登电子(美国  Cadence  公司,NASDAQ:CDNS)近日宣布,基于 AI 的 Cadence Virtuoso Studio 设计工具和解决方案已获得 Samsung Foundry 认证。 双方的共同客户可以放心利用 Virtuoso Studio 和
2023-06-30 10:08:30680

台积电的3nm工艺价格为每片19150美元

尽管英特尔的第14代酷睿尚未发布,但第15代酷睿(代号Arrow Lake)已经曝光。新的酷睿系列产品将改为酷睿Ultra系列,并使用台积电的3nm工艺,预计会有显著的性能提升。
2023-06-20 17:48:571100

求分享NM1200和NM1330详细的数据手册

跪求新唐NM1200和NM1330详细的数据手册
2023-06-15 08:57:31

揭秘半导体制程:8寸晶圆与5nm工艺的魅力与挑战

在探讨半导体行业时,我们经常会听到两个概念:晶圆尺寸和工艺节点。本文将为您解析8寸晶圆以及5nm工艺这两个重要的概念。
2023-06-06 10:44:001420

三大顶流半导体厂商高端工艺逐鹿,你更看好谁

在代工行业,采用先进的工艺节点更能带来明显的成本竞争优势。2020年,台积电(TSMC)是业界唯一同时使用7nm和5nm工艺节点用于IC制造的企业,此举也使得TSMC每片晶圆的总收入大幅增加,达到1634美元。这一数字比GlobalFoundries高66%,是UMC和中芯国际的两倍多。
2023-05-20 14:58:50628

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12784

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

西门子EDA Calibre 平台获台积电先进N3E和N2工艺认证

解决方案—— Calibre® nmPlatform,现已获得台积电的 N3E 和 N2 工艺认证,该套解决方案包括 Calibre® nmDRC 软件、Calibre® YieldEnhancer
2023-05-11 18:25:301872

505nm、785nm、808nm、940nm激光二极管TO56 封装、 500mW 100mw

1300NM 金属封装工艺是指采用金属外壳作为封装壳体或底座,在其内部安装芯片或基板并进行键合连接,外引线通过金属-玻璃(或陶瓷)组装工艺穿过金属外壳,将内部元件的功能引出、外部电源信号等输人的一种电子
2023-05-09 11:23:07

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

是否有人通过这些测试并获得Linux 4.14.98的TAHI IPv6认证

认证。一些测试项目是这样失败的.. 我知道有新内核版本的新版本 SDK 可能会通过这些测试,但我们很难在生产阶段替换当前稳定的内核。 我想知道是否有人通过这些测试并获得 Linux 4.14.98 的 TAHI IPv6 认证?是否可以通过修补内核 IPv6 源代码来完成?
2023-05-06 06:04:48

PCB制造基本工艺及目前的制造水平

  一、PCB制造基本工艺及目前的制造水平   PCB设计最好不要超越目前厂家批量生产时所能达到的技术水平,否则无法加工或成本过高。   1.1层压多层板工艺   层压多层板工艺是目前广泛
2023-04-25 17:00:25

虹科技术|半导体制造工艺中的UV-LED光源

半导体行业借助紫外光谱范围(i 线:365 nm、h线:405 nm和g线:436 nm)中的高功率辐射在各种光刻、曝光和显影工艺中创建复杂的微观结构
2023-04-24 11:23:281480

45nm工艺直跃2nm工艺,日本芯片工艺凭什么?

搞定2nm工艺需要至少3方面的突破,一个是技术,一个是资金,一个是市场,在技术上日本是指望跟美国的IBM公司合作,后者前两年就演示过2nm工艺,但IBM的2nm工艺还停留在实验室级别,距离量产要很远。
2023-04-14 10:24:55507

如何解决PCB制造中的HDI工艺内层涨缩对位问题呢?

如何解决PCB制造中的HDI工艺内层涨缩对位问题呢?
2023-04-06 15:45:50

半导体Chiplet缓解先进制程焦虑

摩尔定律在制造端的提升已经逼近极限,开始逐步将重心转向封装端和 设计端。随着 AI、数字经济等应用场景的爆发,对算力的需求更加旺盛, 芯片的性能要求也在不断提高,业界芯片的制造工艺从 28nm 向 7nm 以 下发展,TSMC 甚至已经有了 2nm 芯片的风险量产规划。
2023-03-28 13:49:351544

Chiplet无法规模化落地的主要技术难点

随着 AI、数字经济等应用场景的爆发,对算力的需求更加旺盛, 芯片的性能要求也在不断提高,业界芯片的制造工艺从 28nm 向 7nm 以 下发展,TSMC 甚至已经有了 2nm 芯片的风险量产规划。
2023-03-28 13:48:15892

GTC23 | NVIDIA、ASML、TSMC 与 Synopsys 为新一代芯片制造奠定基础

推出一项将加速计算引入计算光刻技术领域的突破性成果。 在当前生产工艺接近物理极限的情况下,这项突破使 ASML、TSMC 和 Synopsys 等半导体行业领导者能够加快新一代芯片的设计和制造。 全球
2023-03-23 06:45:02310

已全部加载完成