0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

电子工程师 来源:网络整理 作者:工程师d 2018-05-17 06:59 次阅读

Synopsys设计平台用于高性能、高密度芯片设计

重点:

Synopsys设计平台获得TSMC工艺认证,支持高性能7-nm FinFET Plus工艺技术,已成功用于客户的多个设计项目。
针对7-nm FinFET Plus工艺的极紫外光刻技术,IC Compiler II 进行了专门的优化,进一步节省芯片面积。
采用TSMC的Wafer-on-Wafer®(WoW)技术,平台内全面支持多裸晶芯片堆叠集成,从而提高生产效率,加快实现大批量生产。

全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者Synopsys(NASDAQ: SNPS)近日宣布,Synopsys 设计平台获得TSMC最新工艺认证,符合TSMC最新版设计规则手册(DRM)规定的7-nm FinFET Plus先进工艺技术的相关规范。目前,基于Synopsys 设计平台完成的数款测试芯片已成功流片,多位客户也正在基于该平台进行产品设计研发。Synopsys设计平台在获得TSMC的此项认证后,将可以更加广泛地用于基于此工艺技术的芯片设计,包括高性能、高密度计算和低功耗移动应用。

该认证意味着TSMC极紫外光刻(EUV)工艺取得显著进步。与非EUV工艺节点相比,前者的芯片面积显著减少,但仍保持卓越的性能。

以Design Compiler® Graphical综合工具和IC Compiler™II布局布线工具为核心Synopsys设计平台性能显著增强,可充分利用TSMC的7-nm FinFET Plus工艺实现高性能设计。Design Compiler Graphical可以通过自动插入过孔支柱(via-pillar)结构,提高性能以及防止信号电迁移(EM)违规,并且可将信息传递给IC Compiler II进行进一步优化。它还会在逻辑综合时自动应用非默认规则(NDR),并感知绕线层以优化设计、提高性能。这些优化(包括IC Compiler II总线布线),将会在整个布局布线流程中继续进行,以满足高速网络严格的延迟匹配要求。

PrimeTime®时序分析工具全面支持先进的波形传播(AWP)技术和参数化片上偏差(POCV)技术,并已经进行充分优化,可解决更高性能和更低电压场景中波形失真和非高斯分布偏差造成的影响。此外,PrimeTime感知物理信息的Sign-off扩展了对过孔支柱的支持。

Synopsys强化了设计平台功能,可以执行物理实现、寄生参数提取、物理验证和时序分析,以支持TSMC的WoW技术。其中基于IC Compiler II的物理实现流程,全面支持晶圆堆叠设计,包括最初的裸晶布局规划准备到凸块(bumps)布局分配,以及执行芯片布线。物理验证由Synopsys 的IC Validator工具执行DRC/LVS检查,由StarRC™工具执行寄生参数提取。

TSMC设计基础架构营销事业部资深处长Suk Lee表示:“与Synopsys的持续合作以及TSMC 7-nm FinFET Plus工艺技术的早期客户合作,使我们可以提供差异化的平台解决方案,帮助我们的共同客户更快地将开创性新产品推向市场。Synopsys设计平台成功通过认证,让我们共同客户的设计方案首次实现了基于EUV工艺技术的批量生产。”

Synopsys设计事业群营销和业务开发副总裁 Michael Jackson说:“我们与TSMC就7-nm FinFET Plus量产工艺进行合作,使客户公司可以放心地开始运用高度差异化的Synopsys 设计平台,设计日益庞大的SoC和多裸晶堆叠芯片。TSMC 7-nm FinFET Plus工艺认证,让我们的客户可以享受到先进的EUV工艺所带来的功率和性能上的显著提升,以及面积更大程度的节省,同时加快了其差异化产品的上市时间。”

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47821

    浏览量

    409194
  • IC
    IC
    +关注

    关注

    35

    文章

    5545

    浏览量

    173227
收藏 人收藏

    评论

    相关推荐

    Ansys多物理场签核解决方案获得英特尔代工认证

    Ansys的多物理场签核解决方案已经成功获得英特尔代工(Intel Foundry)的认证,这一认证使得Ansys能够支持对采用英特尔18A工艺技术设计的先进集成电路(IC)进行签核验
    的头像 发表于 03-11 11:25 305次阅读

    MEMS封装中的封帽工艺技术

    密性等。本文介绍了五种用于MEMS封装的封帽工艺技术,即平行缝焊、钎焊、激光焊接、超声焊接和胶粘封帽。总结了不同封帽工艺的特点以及不同MEMS器件对封帽工艺的选择。本文还介绍了几种常用的吸附剂类型,针对吸附剂易于饱和问题,给出了
    的头像 发表于 02-25 08:39 320次阅读
    MEMS封装中的封帽<b class='flag-5'>工艺技术</b>

    今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4
    发表于 12-14 11:16 765次阅读

    FinFET工艺之self-heating概念介绍

    当做到FinFET工艺时才了解到这个名词,在平面工艺时都没有接触SHE(self-heating effect)这个概念。为什么到FinFET下开始需要注意SHE的影响了呢?下面参考一
    的头像 发表于 12-07 09:25 848次阅读
    <b class='flag-5'>FinFET</b><b class='flag-5'>工艺</b>之self-heating概念介绍

    22nm技术节点的FinFET制造工艺流程

    引入不同的气态化学物质进行的,这些化学物质通过与基材反应来改变表面。IC最小特征的形成被称为前端制造工艺(FEOL),本文将集中简要介绍这部分,将按照如下图所示的 22 nm 技术节点制造 F
    的头像 发表于 12-06 18:17 1437次阅读
    22<b class='flag-5'>nm</b><b class='flag-5'>技术</b>节点的<b class='flag-5'>FinFET</b>制造<b class='flag-5'>工艺</b>流程

    今日看点丨消息称英伟达 RTX 50 显卡采用台积电 3nm 工艺;起亚称不放弃中国市场,正与百度研发车机系统

    ,英伟达当前的 RTX 40 显卡采用“TSMC 4N”工艺,没有说明具体是几纳米工艺,有报道称是定制的 5nm 工艺。英伟达官方表示,在
    发表于 11-20 11:05 665次阅读

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得
    的头像 发表于 11-15 15:55 442次阅读
    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8<b class='flag-5'>nm</b> LPP <b class='flag-5'>工艺技术</b><b class='flag-5'>认证</b>

    电子产品装联工艺技术详解

    电子产品装联工艺技术详解
    的头像 发表于 10-27 15:28 466次阅读
    电子产品装联<b class='flag-5'>工艺技术</b>详解

    新思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    Compiler是统一的多裸晶芯片封装探索、协同设计和分析的平台,已经获得三星多裸晶芯集成工艺流程的认证。 全面和可扩展的新思科技多裸晶芯片系统能够实现从早期设计探索到芯片生命周期管
    的头像 发表于 09-14 09:38 886次阅读

    2006电子元器件搪锡工艺技术要求

    2006电子元器件搪锡工艺技术要求
    发表于 08-23 16:48 3次下载

    Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Caden
    的头像 发表于 07-14 12:50 412次阅读
    Cadence 数字、定制/模拟设计流程通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 <b class='flag-5'>FinFET</b> 制程

    Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    的头像 发表于 07-10 09:26 442次阅读

    Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    发表于 05-19 16:25 807次阅读
    Cadence 发布面向 <b class='flag-5'>TSMC</b> 3<b class='flag-5'>nm</b> <b class='flag-5'>工艺</b>的 112G-ELR SerDes IP 展示

    Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    的头像 发表于 05-19 15:23 706次阅读
    Cadence发布面向<b class='flag-5'>TSMC</b> 3<b class='flag-5'>nm</b><b class='flag-5'>工艺</b>的112G-ELR SerDes IP展示

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
    的头像 发表于 05-09 10:09 748次阅读