0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

电子工程师 来源:网络整理 作者:工程师d 2018-06-01 09:35 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII 的布局及布线解决方案采用下一代布局和合法化技术,最大限度地提高可布线性和总体设计利用率。借助重要的设计技术协同优化工作,通过使用PrimeTime Signoff和StarRC提取技术实现ECO闭合,IC Compiler II 实现了对高度紧凑的单元库的支持。对于TSMC 5nm极紫外光刻(EUV)技术来说,通过部署非缺省规则处理和布线层优化的通用技术,最大限度地提高了寄生优化的新机会,从而创建出高度收敛的RTL-to-GDSII实现方案。

PrimeTime时序分析和Signoff认证解决方案中的先进技术,已扩展到整个数字实现平台,以实现面向TSMC 5nm工艺节点的快速增长市场的差异化设计。PrimeTime中的参数化片上偏差 (POCV) 分析得到了加强,可精确获取由于工艺缩放和低电压运行而导致的非线性变化,而这些手段过去常用于实现上述目标应用的能源效率。


TSMC 5nm认证还包括IC Validator物理验证Signoff,支持DRC、LVS和金属填充。TSMC发布设计规则的同时也发布运行集。TSMC和 Synopsys 之间的深度技术合作可实现先进的工艺特性,如新的多网格填充优化和LVS双层次抽取。

为了加速可靠的模拟定制和数模混合信号设计, HSPICE仿真器以及 CustomSim和 FineSim FastSPICE 仿真器也都进行了优化,可支持TSMC 5nm FinFET工艺。该解决方案结合CustomSim先进的IR/EM 可靠性分析能力,加快了AMS验证,以支持可靠的AMS设计。

TSMC设计基础架构营销事业部资深处长Suk Lee表示: “我们与Synopsys在5nm工艺的合作可以为客户在设计过程中带来更高性能和更低功耗。为帮助客户在5nm工艺技术的支持下实现目标PPA,TSMC和Synopsys一直在广泛的设计风格上展开合作,以推动并让设计性能实现最大化。”

Synopsys设计事业群营销和业务开发副总裁Michael Jackson表示:“考虑到5nm工艺技术在规则和进步方面的复杂性,我们必须进一步提早开始与TSMC的合作周期。此外,我们还必须提早开始与早期5nm技术采用者的接触。新工艺节点正在以前所未有的速度引入,我们与TSMC的合作确保了企业设计人员能够在新节点上满怀信心地设计,同时最大限度地提高他们的投资回报。”

TSMC可提供Synopsys Design Platform技术文件、库和寄生参数,以便在5nm技术工艺中进行先期设计。获得TSMC 5nm FinFET工艺认证的 Synopsys Design Platform的主要产品和特点包括:

• IC Compiler II 布局和布线: 全自动、全着色布线及抽取支持,下一代布局和合法化技术以减少单元占板面积缩小,实现高设计利用率的高级合法化和引脚访问建模,以及实现通孔柱技术的流量部署,最大限度提高性能和器件产量;
• PrimeTime Signoff时间:低电压的高级建模;
• StarRC Signoff提取:FinFET 器件扩展的高级建模;
• IC Validator物理验证Signoff: 同时开发DRC、LVS和填充运行集,TSMC发布设计规则的同时也发布DRC 运行集;
• HSPICE,CustomSim和FineSim仿真解决方案: 采用Monte Carlo功能支持的FinFET 器件建模;提供精确电路仿真结果,可实现模拟、逻辑、高频和SRAM设计;
• Custom Compiler自定义设计:支持TSMC 5nm新版图设计规则;
• NanoTime自定义时序分析:基于高级转换的POCV变量分析和增强信号完整性分析,优化嵌入式SRAM和自定义宏的入侵处理;
• ESP 自定义功能验证: 用于 SRAM、宏和库单元设计的晶体管级形式化等价性验证;
• CustomSim可靠性分析:用于高级 EM规则支持的精确动态晶体管级 IR/EM 分析。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    44

    文章

    5787

    浏览量

    174710
  • 晶体管
    +关注

    关注

    78

    文章

    10245

    浏览量

    146213
收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    中国首颗全功能空间计算芯片发布 极智G-X100 5nm工艺

    ,极智G-X100采用5nm工艺,chiplet架构。彩色透视端到端延迟仅为9毫秒,创下全球最低延迟纪录。
    的头像 发表于 11-29 10:59 1698次阅读
    中国首颗全功能空间计算芯片发布 极智G-X100 <b class='flag-5'>5nm</b><b class='flag-5'>工艺</b>

    芯源EEPROM产品的优势

    01CW24xx系列串行EEPROM具有低引脚数、高可靠性、多种存储容量 02用于灵活的参数管理和小代码存储,满足稳定的数据保存、低功耗和空间受限的需要 03采用华虹95nm 最先进工艺,晶圆
    发表于 11-28 06:43

    目前最先进的半导体工艺水平介绍

    当前全球半导体工艺水平已进入纳米级突破阶段,各大厂商在制程节点、材料创新、封装技术和能效优化等方面展开激烈竞争。以下是目前最先进的半导体工艺水平的详细介绍: 一、制程工艺突破 英特尔1
    的头像 发表于 10-15 13:58 986次阅读

    最新版本qemu编译不过怎么解决?

    最新版源码 qemu-vexpress-a9编译不过了。提示RT_PAGE_AFFINITY_BLOCK_SIZE 未定义
    发表于 09-24 07:20

    最新版K230IDE怎么打开帧缓存区?

    最新版K230IDE怎么打开帧缓存区
    发表于 08-08 06:01

    芯科科技Simplicity Studio 6公测版发布

    Silicon Labs(芯科科技)始终相信「优秀的工具成就卓越的创新」。因此,我们非常兴奋地推出最新版本Simplicity Studio v6(SSv6)物联网嵌入式开发环境和工具 — 这是我们迄今为止最先进的开发平台,公开
    的头像 发表于 08-05 10:35 1255次阅读

    MediaTek发布T930 5G平台

    MediaTek 发布 T930 5G 平台,专为 5G 固定无线接入(Fixed Wireless Access,FWA)和移动 Wi-Fi(Mi-Fi)设备而设计,以先进的无线通信
    的头像 发表于 05-19 14:33 848次阅读

    有能使用的proteus 最新版吗?

    有能使用的proteus 最新版吗?
    发表于 04-29 09:41

    最新版STM32CubeIDE无法安装怎么解决?

    最新版STM32CubeIDE无法安装,这应该如何解决,已用管理员身份运行
    发表于 04-27 06:20

    Cadence UCIe IP在Samsung Foundry的5nm汽车工艺上实现流片成功

    我们很高兴能在此宣布,Cadence 基于 UCIe 标准封装 IP 已在 Samsung Foundry 的 5nm 汽车工艺上实现首次流片成功。这一里程碑彰显了我们持续提供高性能车规级 IP 解决方案‌的承诺,可满足新一代汽车电子和高性能计算应用的严格要求。
    的头像 发表于 04-16 10:17 743次阅读
    Cadence UCIe IP在Samsung Foundry的<b class='flag-5'>5nm</b>汽车<b class='flag-5'>工艺</b>上实现流片成功

    锦锐MCU最新版烧录软件CACHIP_TOOL_4.0.0

    锦锐MCU最新版烧录软件 CACHIP_TOOL_4.0.0上位机
    发表于 03-06 17:41 79次下载

    高通CES 2025发布Qualcomm Aware™平台新版

    近日,美国拉斯维加斯——全球瞩目的CES 2025消费电子展上,高通技术公司宣布了一项重要更新:推出Qualcomm Aware™平台最新版本。这一基于云的先进服务平台,旨在为企业客
    的头像 发表于 01-09 13:59 940次阅读

    高通推出Qualcomm Aware平台最新版

    在CES 2025上,高通技术公司宣布推出Qualcomm Aware平台最新版本,这一基于云的服务平台支持企业为物流、零售、能源、智能家居和机器人等行业的智能网联终端增加可观测性、监测和定位功能
    的头像 发表于 01-07 10:36 1306次阅读

    消息称台积电3nm5nm和CoWoS工艺涨价,即日起效!

    )计划从2025年1月起对3nm5nm先进制程和CoWoS封装工艺进行价格调整。 先进制程2025年喊涨,最高涨幅20% 其中,对3
    的头像 发表于 01-03 10:35 1021次阅读

    台积电2025年起调整工艺定价策略

    近日,据台湾媒体报道,随着AI领域对先进制程与封装产能的需求日益旺盛,台积电计划从2025年1月起,针对其3nm5nm以及先进的CoWoS封装工艺
    的头像 发表于 12-31 14:40 1298次阅读