电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>迈向先进制程,PLD商机更加庞大

迈向先进制程,PLD商机更加庞大

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

半导体厂商联电、格芯先后退出先进制程竞赛

研发, 并将资源转而投入在相对成熟的制程服务上。 联电与格芯先后退出先进制程军备竞赛,加上英特尔(Intel)的10奈米制程处理器量产出货时程再度递延到2019年底,均显示先进制程的技术进展已面临瓶颈。 展望未来,还有能力持续推动半导体制程微缩的业者,或只剩下台积电、三星
2018-10-16 09:30:411128

ST推出采用先进制程的TSX56系列新一代微型放大器IC

意法半导体(STMicroelectronics,简称ST;纽约证券交易所代码:STM)推出采用先进制程的新一代IC。该制程有助于芯片节省电能,提高运算精度,简化汽车电子、智能建筑及工业控制应用传
2012-10-11 09:30:56923

抢推先进制程 Xilinx/Altera之战一触即发

FPGA巨头殊死战愈演愈烈。Altera近来频频加码先进制程投资,并发动IP厂购并攻势,全面向FPGA龙头赛灵思宣战;对此,赛灵思也正面迎战,透过新一代设计套件,加速旗下28纳米制程SoC FPGA开发时程,以持续扩大市场占有率,严防Altera坐大。
2013-05-20 09:50:131318

先进制程布局各有打算,GF/联电争抢晶圆榜眼

先进制程晶圆代工市场战火愈演愈烈。继台积电宣布将分别于2015、2017年推出16和10奈米鳍式电晶体(FinFET)制程后,格罗方德(GLOBALFOUNDRIES)日前也喊出将超前
2013-06-11 10:14:031067

庞大的物联网商机2015即将启动,你准备好了?

物联网蕴含极大的创新空间,伴随其在各行各业应用发展的不断深化,将催生大量的新技术、新产品、新应用和新模式,为业界所看好。庞大商机将自2015年启动,你准备好了吗?##围绕业界趋势以及可能出现的新主
2014-12-17 14:08:102627

台积电拟投资49.1亿美元研发先进制程 挖英特尔顶级人才

据媒体报道,台积电近日通过一次董事会议决定,将在未来拿出49.1亿美元(约合333亿元人民币)产能提高、工厂兴建以及下一代先进制程(7nm/10nm)的研究等。11月8日,台积电董事会通过近年来罕见
2016-11-10 17:02:27721

电子芯闻早报:台积电先进制程投资计划抢先看 三星s8或取消耳机口

早报时间:全球半导体设备出货排行 大陆季减37%;台积电10nm以下先进制程计划:5nm/3nm仍在规划中;我国IGBT首次出口海外市场;虚拟现实技术五年之后将会广泛启用;库克称Apple
2016-12-07 09:38:57661

台积电试产7纳米先进制程 将获得A11处理器大部分订单

根据平面媒体指出,在 2016 年第 4 季成功量产 10 纳米先进制程之后,从 2017 年第 1 季开始,全球晶圆制造龙头台积电将会正式试产 7 纳米先进制程,并且有望在 2018 年初正式达成
2017-01-05 07:12:26658

美光扩大在台投资加快先进制程布局追赶三星

美国内存大厂美光(Micron)合并华亚科技后,中国台湾地区成为美光的 DRAM 生产基地,内部设定以超越三星为目标,并全力冲刺 DRAM 和 3D NAND Flash 先进制程脚步,去年及今年
2017-02-13 11:44:26734

英特尔10纳米良率低 先进制程将优先导入服务器芯片

半导体龙头英特尔(Intel)先进制程策略大转弯,除了传出10纳米以下制程良率未如预期,内部也调整将最先进工艺制程未来优先提供服务器芯片生产之用,改变过去PC挂帅策略。
2017-03-14 09:25:59850

Helio X30及先进制程效应减弱 联发科市占恐不进则退

面对全球智能手机市场成长力道顶多平稳的压力,联发科最新Helio X30芯片及7/10纳米等最先进制程技术所能发挥的效应不断减弱,2017年联发科手机芯片全球市占率恐不进则退,可能是联发科近年来面临的最大挑战。
2017-03-22 09:13:321159

先进制程竞赛高通MTK暂休兵,苹果三星领风骚

全球手机晶片双雄高通、联发科一路激战,从全球高阶手机芯片市场,2017年往下缠斗到中阶手机芯片领域,且不仅是拚战手机芯片,还包括手机芯片平台支援、连结性等应用设计,甚至连先进制程技术亦强力较劲,然经过......
2017-05-29 06:00:00674

台积电VS三星,究竟谁能成为7纳米先进制程代工之王?

2019年,华为、三星、联发科、高通都发布了最新的5G芯片,这些芯片的提前布局将催动2019年底到2020年5G手机的扎堆上市,在5G需求带动半导体产业链全面增长之时,台积电和三星已经凭借自己在7纳米先进制程上的优势,全面开始抢占客户订单的对决。
2019-12-06 08:49:2818805

先进制程耗材需求大 崇越获台积电追单

8月6日消息,据台湾媒体报道,晶圆代工龙头台积电下半年先进制程产能满载,带动硅晶圆,光阻液需求。崇越受惠,获台积电追单。
2020-08-06 14:10:042564

蒋尚义:中芯国际将同步发展先进制程与封装

蒋尚义在担任中芯国际副董事长首次于中国芯创年会中公开亮相,并表示未来中芯将同步发展先进制程跟封装。
2021-01-18 10:25:364324

2017先进制造业博览会(上海)

参展企业量身对接优质采购企业;  行业政策扶持,带来巨大商机促进先进制造业领域的持续繁荣;  助力企业塑造品牌——智能制造、新材料等行业顶尖企业参展,优势显著;  10000平米左右的展示展出面积,参展
2016-10-25 09:03:37

PLD在消费电子领域的应用

PLD现在已经从采用最先进的标准单元技术制造的固定逻辑器件手中夺得部分市场份额。而消费电子则是PLD正在快速增长的应用领域。 PLD行业最近出现了很多新变化。以往,PLD更多是用在一些特殊产品中,例如低成本原型,或者像路由器这样的小批量产品。而今天,PLD则更多涉足消费电子领域。
2019-07-29 08:07:20

PLD在消费电子领域的应用

采用最先进的标准单元技术制造的固定逻辑器件手中夺得部分市场份额。而消费电子则是PLD正在快速增长的应用领域。 PLD行业最近出现了很多新变化。以往,PLD更多是用在一些特殊产品中,例如低成本原型,或者像路由器这样的小批量产品。而今天,PLD则更多涉足消费电子领域。
2019-07-17 07:19:16

PLD在消费电子领域的挑战

采用最先进的标准单元技术制造的固定逻辑器件手中夺得部分市场份额。而消费电子则是PLD正在快速增长的应用领域。 PLD行业最近出现了很多新变化。以往,PLD更多是用在一些特殊产品中,例如低成本原型,或者像路由器这样的小批量产品。而今天,PLD则更多涉足消费电子领域。
2019-07-22 06:51:56

如何利用PLD高效低耗挑战消费电子领域?

采用最先进的标准单元技术制造的固定逻辑器件手中夺得部分市场份额。而消费电子则是PLD正在快速增长的应用领域。
2019-09-24 06:58:39

求:用汇编语言写16进制转换10进制程序的思路

求:用汇编语言写16进制转换10进制程序的思路(网上有现成的汇编程序,但不容易看懂!)。
2013-11-09 12:03:21

激光先进制造技术

` 本帖最后由 gk320830 于 2015-3-8 12:44 编辑 本书主要讲述激光先进制造技术中的激光与材料相互作用的基础知识和激光热加工工艺,并具体讲述了激光加工技术(包括激光打孔、标刻、焊接和激光表面改性)、激光快速成型技术和激光制备薄膜技术。`
2012-11-19 09:37:06

先进制造技术的新发展

本文介绍了当今制造技术面临的问题,论述了先进制造的前沿科学,并展望了先进制造技术的发展前景。
2009-12-29 15:40:079

16进制转换算成10进制程

16进制转换算成10进制程序  unsigned char d[10];    //用于显示的10位显示缓存  //======================
2009-01-14 23:33:1426580

晶圆代工厂:扩大先进制程资本支出(图)

晶圆代工厂:扩大先进制程资本支出(图)
2010-01-12 08:36:11773

先进制程一马当先 台积20奈米年底试产

台积电将维持晶圆代工领先地位。现阶段台积电28奈米(nm)先进制程技术傲视群雄,加上其专攻2.5D及三维晶片
2012-01-22 11:41:30781

40/45nm先进制程升温 众晶圆厂争相扩充

全球各大晶圆代工厂正加速扩大40/45nm先进制程产能规模。智慧型手机与平板装置市场不断增长,让兼具低成本与高效能的先进制程需求快速升温,包括台积电、联电、格罗方德(GlobalFo
2012-08-24 09:12:291100

先进制程冲第一 台积电16/10nm抢先开火

台积电先进制程布局火力全开。除20奈米(nm)已先行导入试产外,台积电2013~2015年还将进一步采用鳍式场效应晶体(FinFET)技术,打造16、10奈米制程;同时亦可望推出18寸(450mm)晶圆
2012-09-07 09:05:21766

加码扩产不手软 晶圆厂车拚先进制程

晶圆代工厂在先进制程的竞争愈演愈烈。行动装置对采用先进制程的晶片需求日益高涨,让台积电、联电、GLOBALFOUNDRIES与三星等晶圆厂,皆不约而同加码扩大先进制程产能,特别是现今
2012-09-20 09:08:41678

手机芯片竞争激烈 台积电先进制程或降价

 面对高通(Qualcomm)、联发科毛利率频创新低,加上苹果(Apple)iPhone销售表现触礁,且开始面临市占率、毛利率下滑考验,近期主要晶圆代工厂台积电密切关注全球智能型手机芯片市场竞局,甚至已考虑针对16/20纳米等先进制程调整价格,以舒缓手机芯片客户获利压力。
2016-05-19 10:23:38287

台积电投资5千亿在3nm制程,或将于2022年量产

台积电要在晶圆代工市场维持龙头大厂地位,除了要拥有庞大的产能来满足不同客户需求外,还必须在先进制程的推进上领先竞争同业。
2016-12-08 17:14:24665

台积电试产7纳米先进制程,有望实现 2018 年初正式量产

根据平面媒体指出,在 2016 年第 4 季成功量产 10 纳米先进制程之后,从 2017 年第 1 季开始,全球晶圆制造龙头台积电将会正式试产 7 纳米先进制程,并且有望在 2018 年初正式达成量产的目标。
2017-01-04 11:04:11603

缩减先进制程IC设计时程 新思原型验证平台登场

为节省先进制程IC设计成本,新思科技(Synopsys)宣布推出新一代HAPS-80 FPGA原型建造系统。该系统搭配ProtoCompiler设计自动化和除错软件,并采用赛灵思(Xilinx
2017-02-08 20:56:29228

联电、台积电在大陆竖起先进制程高墙

台湾12吋厂火速卡位大陆先进制程的空缺,近期传出联电厦门12吋晶圆厂(联芯)一箭双雕,先后拿下展讯、联发科40纳米制程大订单,且近期28纳米移转到厦门12吋厂后,此两大IC设计大客户也会陆续转进28纳米生产,与台积电联手在大陆筑起先进制程高墙,防堵中芯国际、华力微电子的28纳米崛起!
2017-04-19 10:23:35990

中芯国际要研发更先进制程工艺 台积电一员大将可能加入中芯国际

中芯国际是全球芯片代工行业中的四大厂商之一。然而,目前,中芯国际投入量产的最先进制程工艺是28纳米PolySiON工艺。并且,中芯国际仍需对高端的28纳米HKMG工艺继续深入探究。 中芯国际是全球
2017-04-26 10:05:11712

三星独立晶圆代工成效不如预期 与高通合作10纳米以下先进制程带来助益

2017年5月三星电子(Samsung Electronics)将晶圆代工事业部独立,以更攻击性的姿态欲扩大晶圆代工事业,但目前为止成效不如预期。然而10纳米以下先进制程三星将持续与高通(Qualcomm)合作,可望为2018年业绩带来助益。
2018-01-04 13:57:264445

台积电冲刺先进制程,巩固地位

不过,台积电带动的半导体产业群聚效益相当显著,今年已有来自全球各地的多家重量级半导体设备厂加速在台布局,包括美商应材、科林研发、德商默克、日商艾尔斯(RS Technologies)及荷商艾司摩尔(ASML)等,凸显这些大厂都看好台积电技术优势,全力助攻台积电发展先进制程
2018-07-25 15:56:003575

格芯表示退出7纳米制程不一定是坏事 12纳米以下制程规模依然稳固

8月底,全球第二大晶圆代工厂格芯(Globalfoundries,“GF”)宣布退出7纳米及以下先进制程的研发与投资,这是继联电之后,第二家宣布放弃10纳米以下制程的半导体公司。虽然放弃7纳米及以下
2018-09-27 16:14:004321

台积电在7纳米以下先进制程已无对手,2019年苹果A13芯片大单续由台积电通吃

台积电于2018年4月率先进入7纳米制程世代,将是首家真正量产7纳米EUV制程的晶圆代工业者,未来在5纳米制程世代,恐将只有1~2家业者有能力持续前进,全球第二大晶圆代工厂GlobalFoundries便已宣布暂缓高达百亿美元的7纳米FinFET计划。
2018-10-12 17:17:585421

联电将放缓先进制程的产能扩张

晶圆代工大厂联电昨(24)日公布第3季财报,受到业外亏损扩大以及所得税费用大举提升冲击,单季税后净利为17.2亿元(新台币,下同),季减52%,每股税后纯益0.14元,表现不如预期,不过毛利率达到17.6%,优于前季17.2%的水平。另外,联电也透露将放缓先进制程的产能扩张。
2018-10-25 15:53:162950

10nm以下先进制程 台积电和三星采取怎样的策略

晶圆代工领域10nm已成分水岭,随着英特尔的10nm制程久攻不下,联电和格芯相继搁置7nm及以下先进制程的研发后,10nm以下的代工厂中只有三星在继续与台积电拼刺刀。
2018-11-16 10:37:373675

先进制程微缩变得越来越困难 IC设计与品牌商同样面对的成本高墙

晶圆代工厂商的先进制程竞赛如火如荼来到7nm,但也有晶圆代工厂商就此打住,联电将止于12nm制程研发,GlobalFoundries宣告无限期停止7nm及以下先进制程发展。一直以来,半导体产业为延续
2018-12-26 14:57:273087

芯恩项目进展顺利 公司二期工程目标直指14nm及以下先进制程

3月5日,芯恩董事长张汝京表示,芯恩项目进展顺利,CIDM(Commune IDM——共有、共享式IDM)模式需要国内外产业链上下游更多企业加入,才能不断壮大。他还提到,公司二期工程目标,直指14nm及以下先进制程
2019-03-07 17:11:394529

三星亦加快先进制程布局 今年将推进至采用EUV技术的5/4纳米

晶圆代工龙头台积电支援极紫外光(EUV)微影技术的7+纳米进入量产阶段,竞争对手韩国三星晶圆代工(Samsung Foundry)亦加快先进制程布局,包括8纳米及7纳米逻辑制程进入量产后,今年将推进
2019-03-18 15:21:002692

台积电扩充先进制程产能资金预算达约39亿人民币

晶圆代工龙头厂台积电因应未来营运成长性,投资扩产持续大手笔,昨董事会决议,核准资本预算达约新台币1217.81亿元(约39亿人民币),做为扩充先进制程产能等用途。
2019-05-15 16:53:362148

台积电表示5纳米制程明年第1季量产 有信心仍会是全世界最先进制程技术

晶圆代工厂台积电对先进制程技术发展深具信心,业务开发副总经理张晓强表示,5纳米制程明年第1季量产,仍会是全世界最先进制程技术。
2019-05-23 16:57:332608

台湾地区与韩国对光刻机需求最强烈 先进制程的光刻设备出货前景看好

先进制程纳米节点持续微缩下,光刻机是重要关键设备。12寸晶圆主要光刻机为ArF immersion机台,可覆盖45nm一路往下到7nm节点的使用范围,其雷射光波长最小微缩到193nm;针对7nm
2019-06-10 16:56:366512

2019年三星晶圆代工论坛将如期于9月4日在东京举行 将展示自家先进制程技术

尽管日韩贸易冲突持续延烧,但三星电子原定9月在日本东京的晶圆代工论坛依然将如期举行。届时三星将展示自家先进制程技术,并提供用于生产3纳米以下芯片、名为“环绕闸极”(GAA)技术的制程套件。三星称在GAA技术领先全球晶圆代工龙头台积电一年,更超前英特尔(Intel)两到三年。
2019-07-30 16:22:242183

日本召开的VLSI 2019峰会上公开在先进制程工艺方面的进度

上个月在日本召开的VLSI 2019峰会上,台积电(下称TSMC)举办了一次小型的媒体会,会上他们公开了目前他们在先进制程工艺方面的进度。这篇文章就带大家来梳理一下目前TSMC的先进工艺进度,对于未来两到三年半导体代工业界的发展有个前瞻。
2019-07-31 16:53:163896

台积电董事会核准2000亿元新台币扩充产能与发展先进制程

晶圆代工龙头台积电13日举行董事会,会中核准2,009.1亿元(新台币,下同)资本支出,以因应扩充产能与发展先进制程的需求。另外,也核准2019年第2季每股2.5元之现金股利,并且通过黄仁昭财务长暨发言人以及章勳明升任副总经理人事案。
2019-08-14 17:04:551655

国外先进制造业的一些做法对我国推动先进制造业发展有哪些启示

近年来,全球先进制造业快速发展。在主要发达经济体中,美国依托国家制造业创新网络夯实先进制造业创新的基础,英国瞄准重点产业和关键领域实施高价值制造战略,日本政府重视对制造业智能化和信息化转型的引导和支持。这些主要发达国家的一些做法值得借鉴。
2019-09-19 08:44:062942

台积电7nm表现持续亮眼 下一阶段纳米节点微缩计划更加明确

当前,先进制程仍是半导体产业趋势的重点之一,尤其在业界龙头台积电对于其先进制程布局与时程更加明确的情况下,增加主要供应链厂商对纳米节点持续微缩的信心,势必也将带来更多元的设备与材料需求;然而,连带对于设备与材料规格提升的需求,也考验供应链厂商在产品竞争力上的表现。
2019-09-23 15:59:052498

台积电第4季营收有望改写历史新高纪录 7纳米先进制程将是主要成长动能

晶圆代工厂台积电法人说明会即将于17日登场,市场普遍看好台积电可望释出好消息,第4季营收应可改写历史新高纪录,7纳米先进制程将是主要成长动能。
2019-10-14 17:20:002640

台积电先进制程接单依然强劲 5纳米订单能见度已看到明年上半年

市调机构及市场法人近期预期新冠肺炎疫情恐延烧到下半年,不约而同下修今年5G智能手机销售预估,但晶圆代工龙头台积电先进制程接单依然强劲,其中,台积电5纳米制程将如期在第二季开始量产,今年产能已被苹果、华为海思、高通等大客户预订一空,接单满到年底,达成全年营收占比10%的目标。
2020-03-16 10:50:091817

三星跳过4nm制程转向3nm制程量产,要真正反超台积电存在诸多挑战

在芯片先进制程的赛场上,放眼全球,仅剩台积电、英特尔、三星。目前,台积电和三星在7nm以下的竞争备受关注。根据报道,三星将直接跳过4nm先进制程,转向3nm制程的量产,此举有可能使三星领先于
2020-07-06 15:31:541990

三星和台积电在5nm先进制程上将进行没有硝烟的战争

25日,三星和台积电在5nm先进制程上同时爆发新闻,没有硝烟的战场上从未停止战争。
2020-08-26 11:43:172915

台积电在2纳米新制程节点有重大突破

半导体制程一路微缩,面临物理极限,业界原忧心不利摩尔定律延续,也就是过往每18个月推进一个制程时代的脚步受阻,使得台积电等半导体大厂先进制程发展受影响。
2020-09-21 17:51:421751

先进制程是半导体产业的绝对主流?中国为什么要研发28nm工艺?

8nm、7nm、5nm.。..在品牌大厂的耳濡目染之中,极易让我们产生一丝错觉,即所有半导体厂商都在疯狂研发先进制程,或者说先进制程才是半导体产业的绝对主流。
2020-10-15 10:47:3810610

台积电和三星已在7nm以下晶圆代工展开战局进行博弈

当下,除台积电外,目前手上资金雄厚可持续投入先进制程的只有三星电子(Samsung Electronics)、英特尔(Intel),然而,先进制程的投资是无底洞,必须要有庞大的订单规模支撑,以三星除自家芯片外,并未有稳定客户与大单。
2020-10-16 16:06:402232

中芯国际的先进制程工艺再获突破

作为中国大陆技术最先进、规模最大的晶圆代工企业,中芯国际的制程工艺发展一直备受关注。历经20年,其制程工艺从0.18微米技术节点发展至如今的N+1工艺。
2020-10-20 16:50:105947

英特尔放弃打造先进制程晶体管?

另外,Jefferies分析师Mark Lipacis发表研究报告指出,若台积电同意在英特尔积极追赶时、以先进制程为英特尔打造CPU,那么台积电等于是在帮英特尔翻身,最终拱手让出AMD及Nvidia这两个高成长客户的订单。
2020-10-26 11:18:051682

台积电先进制程带动明年设备需求,明年全球智能音箱市场将增长21%

台积电持续推动高阶制程,带动相关的半导体设备及测试设备需求,半导体测试设备大厂爱德万(Advantest)表示,明年设备产业续受惠半导体先进制程和5G应用带动系统单晶片测试,预期明年整体半导体相关
2020-10-27 16:03:591258

晶圆代工龙头台积电宣布5纳米先进制程,三星也紧追在后

晶圆代工龙头台积电宣布5纳米先进制程,已于今年第二季进入量产时,另一头的三星也紧追在后。 根据TrendForce旗下拓墣产业研究院最新调研结果显示,预估今年第3季全球晶圆代工市场,台积电仍将
2020-11-01 11:58:042683

半导体制程将迎来三分天下的格局

随着半导体制程向着更先进、更精细化方向发展,不同节点范围和玩家的边界越来越明显。其中,最先进制程玩家只剩下台积电、三星和英特尔这3家,而在10年前,至少有7家在专注于当时最先进制程的投资和研发。而在
2020-11-24 14:47:232024

台积电积极扩张5nm制程,2021年底将囊括近六成先进制程市占

观察目前最先进的5nm制程,台积电在华为遭美禁令限制后,2020年初才量产的5nm制程仅剩苹果(Apple)为唯一客户,即便苹果积极导入自研Mac CPU,其总投片量仍难以完全弥补华为海思空缺的产能,导致5nm稼动率在今年下半年落在约85~90%。
2020-12-11 11:03:021522

台积电为1nm制程狂购EUV光刻机

之前有消息称,台积电正在筹集更多的资金,为的是向ASML购买更多更先进制程的EUV光刻机,而这些都是为了新制程做准备。
2020-12-29 09:22:482192

沪硅产业拟50亿定增,扩“先进制程300mm硅片”产能

本次公司拟发行不超过7.44亿股,募集资金总额不超50亿元,其中15亿将用于“集成电路制造用300mm高端硅片研发与先进制造项目”,20亿用于“300mm高端硅基材料研发中试项目”,剩余15亿用于补充流动性资金。
2021-01-13 13:53:011919

2021年台积电、三星继续重金砸向先进制程

2020年,受7纳米和5纳米先进制程拉动,晶圆代工厂商大幅增加资本开支;2021年,晶圆代工龙头台积电、三星继续重金砸向先进制程
2021-01-24 10:28:561566

GAA-FET在3nm及更先进制程上很关键

得益于从平面型晶体管到鳍式场效应管(FinFET)的过渡,过去 10 年的芯片性能提升还算勉强。然而随着制程工艺不断抵近物理极限,芯片行业早已不再高声谈论摩尔定律。尽管业界对环绕栅极晶体管(GAAFET)在 3nm 及更先进制程上的应用前景很是看好,但这种转变的代价也必然十分高昂。
2021-01-27 14:56:431941

台积电先进制程芯片最新消息

在近期举办的2021年国际固态电路会议(ISSCC 2021)上,台积电先进制程芯片传来新消息。台积电董事长刘德音在线上专题演说时指出,3纳米制程依计划推进,甚至比预期还超前了一些,3纳米及未来主要
2021-02-22 09:10:061975

全球半导体先进制程之战已然火花四射

2020年伊始,全球半导体先进制程之战已然火花四射。从华为和苹果打响7nm旗舰手机芯片第一枪开始,7nm芯片产品已是百花齐放之势,5nm芯片也将在下半年正式首秀。这些逐渐缩小的芯片制程数字,正是全球
2021-04-01 18:04:115400

浅谈ALD在半导体先进制程的应用

说明:若有考虑不周,欢迎留言指正。 原子层沉积在半导体先进制程的应用 随着集成电路工艺技术的不断提高,晶体管的特征尺寸及刻蚀沟槽不断减小,沟槽及其侧壁的镀膜技术面临严峻的挑战,物理气相沉积(PVD
2021-04-17 09:43:2116607

先进制程竞玩家数量的一次大衰退

但从2002到2006年,就陆续有玩家开始退出先进制程的竞争,包括Sanyo、Rohm、ON、Mitsubishi、Hitachi、Atmel、HLMC以及ADI均没有在第一时间推出90nm工艺。由此可以看出,在期间退出先进节点竞争的日本厂商较多。
2021-05-17 11:23:361916

芯驰科技获近10亿元B轮融资 加快更先进制程芯片研发

时代也通过晨道资本持续重仓加注。投中资本及凡卓资本担任本轮融资财务顾问。 本轮融资将主要用于更先进制程芯片的研发。近年来汽车智能化、电动化、网联化、共享化的趋势加速,风头渐劲。“更先进制程的芯片研发,可以在保证可靠
2021-07-27 14:52:031264

回顾西门子EDA研讨会 看破解先进制程最新挑战

随着AI时代的到来,市场上对大数据处理速度的需求越来越高。众所周知,工艺制程的进步是实现高性能计算最为有效的途径之一。因此,市场对先进制程的需求也会越来越旺盛。根据IC Insights发布
2021-08-24 11:13:526131

从代工厂看先进制程

来源: 半导体产业纵横 台积电已于近日发布了2021年第四季度财报。数据显示,台积电7nm及以下制程贡献营收达到一半。其在先进制程的发力可见一斑。魏哲家还预计,台积电将于2025年推出2nm芯片
2022-01-27 13:16:50784

台积电:先进制程需求强烈,2022第二季度毛利率能够达56%以上

日前,台积电召开了股东会议,会议中,台积电CEO魏哲家表示:目前台积电的先进制程芯片及特殊制程技术需求强劲,甚至超出了台积电的供应能力。 会议中,台积电董事长刘德音称,台积电明年的资本支出将超过
2022-06-09 15:23:25788

台积电拟在美国扩大投资 王美花:最先进制程留在中国台湾

,台积电最先进制程一定会留在中国台湾。 王美花强调,台积电最先进制程一定会留在台湾。 她解释,台积电目前去美国设置的5纳米厂,预计要到2024年才量产。 王美花进一步称,台积电3纳米现已在南科试量产; 2纳米部分,也在新竹整地; 对于1纳米制程,中
2022-11-24 10:23:19919

台积电先进制程大爆发;纳思达拟分拆极海微上市;王化回应“小米造车遇坎”传闻

热点新闻 1、台积电先进制程大爆发!OPPO、特斯拉等均下单 据报道,半导体设备业内人士指出,台积电先进制程订单饱满,除了苹果、高通等既有客户以外,目前,Google、特斯拉均已传出
2023-01-05 16:55:02612

3nm制程代工价格再破新高,高质芯片如何保障?

继2022年6月30日,三星电子官宣开始量产基于GAA晶体管结构的3nm芯片后,台积电也在2022年末在台南科学园区高调举办了3nm量产扩厂典礼,也就是说目前先进制程的两大玩家都已经达成了3nm制程
2023-01-16 09:32:53560

一文讲透先进封装Chiplet

芯片升级的两个永恒主题:性能、体积/面积。芯片技术的发展,推动着芯片朝着高性能和轻薄化两个方向提升。而先进制程先进封装的进步,均能够使得芯片向着高性能和轻薄化前进。面对美国的技术封装,华为
2023-04-15 09:48:561953

先进封装Chiplet的优缺点与应用场景

一、核心结论  1、先进制程受限,先进封装/Chiplet提升算力,必有取舍。在技术可获得的前提下,提升芯片性能,先进制程升级是首选,先进封装则锦上添花。 2、大功耗、高算力的场景,先进封装
2023-06-13 11:38:05747

何谓先进封装?一文全解先进封装Chiplet优缺点

1. 先进制程受限,先进封装/Chiplet提升算力,必有取舍。
2023-07-07 09:42:041693

先进制程芯片的“三大拦路虎” 先进制程芯片设计成功的关键

虽然摩尔定律走到极限已成行业共识,但是在现代科技领域中,先进制程芯片的设计仍是实现高性能、低功耗和高可靠性的关键。
2023-08-08 09:15:40570

台积电高雄厂将以 2 纳米先进制程技术进行生产规划

来源:经济日报 台湾地区《经济日报》消息,台积电近日宣布,为满足先进制程技术的强劲市场需求,高雄厂确定以 2 纳米的先进制程技术进行生产规划。至此,台积电将拥有三个2 纳米生产基地。 据台湾地区
2023-08-09 18:21:09640

新思科技PVT IP:从源头解决先进制程芯片“三大拦路虎”

本文转自TechSugar 感谢TechSugar对新思科技的关注 虽然摩尔定律走到极限已成行业共识,但是在现代科技领域中,先进制程芯片的设计仍是实现高性能、低功耗和高可靠性的关键。芯片开发者正在
2023-08-15 17:35:01712

收藏!一文了解45个“国家先进制造业集群”布局

,45个国家级集群总产值突破20万亿元。那么,这些先进制造业集群是怎样布局的呢? 编辑:感知芯视界 发展先进制造业集群,是推动产业迈向中高端、提升产业链供应链韧性和安全水平的重要抓手,有利于形成协同创新、人才集聚、降本增
2023-08-29 10:11:361007

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

合作伙伴关系。 英特尔和新思科技(Synopsys)近日宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP产品组合。提供基于英特尔先进制程节点的关键
2023-09-12 16:36:24175

中国看好成熟制程,积极扩增成熟制程产能

TrendForce统计,28纳米以上的成熟制程及16纳米以下的先进制程,2023~2027年全球晶圆代工产能比重约维持7比3。其中,中国大陆因积极扩增成熟制程产能,全球占比估自29%增至33%,台湾则估自49%降至42%。
2023-11-02 16:04:0796

台积电、三星、英特尔先进制程竞争白热化

英特尔执行长PatGelsinger 透露,18A 已取得三家客户代工订单,希望年底前争取到第四位客户,先进制程18A 计划于2024 年底开始生产,其中一位客户已先付款,外界预期可能是英伟达或高通。
2023-11-19 10:08:06796

长沙位列全国先进制造百强城市第6位

长沙位列全国先进制造百强城市第6位 日前赛迪顾问发布了《2023先进制造业百强市研究报告》;报告显示长沙位列全国先进制造百强城市第6位;深圳、苏州、广州、宁波、杭州分别为前五。 在赛迪顾问发布
2023-11-27 15:18:19182

台积电再现排队潮,最先进制程越来越抢手

台积电3nm制程家族在2024年有更多产品线,除了当前量产的N3E之外,明年再度推出N3P及N3X等制程,让3nm家族成为继7nm家族后另一个重要生产节点。
2023-12-05 10:25:06117

芯片先进制程之争:2nm战况激烈,1.8/1.4nm苗头显露

随着GPU、CPU等高性能芯片不断对芯片制程提出了更高的要求,突破先进制程技术壁垒已是业界的共同目标。目前放眼全球,掌握先进制程技术的企业主要为台积电、三星、英特尔等大厂。
2024-01-04 16:20:16314

2020年台积电先进制程员工泄密案终于达成和解

来源:天天IC,谢谢 编辑:感知芯视界 Link 集微网消息,台积电制造技术研发部门陈姓女技术副经理,因 将公司先进制程重要机密信息上传云端,并制成蜘蛛网图(spidergram)给林姓朋友查看
2024-01-08 13:18:17147

台积电2023年Q4营收稳健,先进制程营收占比高达67%

按工艺来看,3 纳米制程产品占当期销售额的 15%,5 纳米产品占比达到了 35%,而 7 纳米产品则占据了 17%;整体上看,先进制程(包括 7 纳米及以上)销售额占总销售额的比重达到了 67%。
2024-01-18 14:51:58389

台积电冲刺2nm量产,2nm先进制程决战2025

人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3nm、2nm发展和演进,芯片制造领域制程工艺的角逐从来未曾停歇,到现在2nm芯片大战已经全面打响。   先进制程工艺演
2023-08-20 08:32:072089

已全部加载完成