0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

芯片先进制程之争:2nm战况激烈,1.8/1.4nm苗头显露

旺材芯片 来源:韦思维 全球半导体观察 2024-01-04 16:20 次阅读

相比成熟制程,近年随着AI、数据中心等应用驱动,先进制程成为了业界“香饽饽”。细观晶圆代工产业链动态,从研发、争抢先进设备、再到抢单,台积电、三星英特尔等大厂动作不断,同时新军Rapidus正强势入局,可见先进制程之战已悄然打响,并愈演愈烈。

从抢单开始,2nm战况如何?

随着GPUCPU等高性能芯片不断对芯片制程提出了更高的要求,突破先进制程技术壁垒已是业界的共同目标。目前放眼全球,掌握先进制程技术的企业主要为台积电、三星、英特尔等大厂。

据TrendForce集邦咨询12月6日研究显示,2023年第三季全球前十大晶圆代工市场中,台积电以57.9%的市占率占据全球第一的位置,而三星以12.4的市占率位居全球第二,英特尔(IFS)位居全球第九位,市占率为1%。

1cb5b8d0-a23f-11ee-8b88-92fbcf53809c.png

多年来,台积电一直是晶圆代工产业的半壁江山,同时还手握着英伟达、苹果、高通等下游厂商多数订单,并成为人工智能芯片供应商的主要纯晶圆代工合作伙伴。其中,按英伟达此前披露的营收计算,台积电是全球最大的芯片代工制造商,也是英伟达高性能AI芯片的独家生产商。

不过,业界推测,接下来英特尔或将打破当下台积电独家代工英伟达高性能AI芯片的状况。英伟达首席财务官柯蕾丝(Colette Kress)近日在参加瑞银全球科技大会时暗示,英伟达不排除增加英特尔代工(IFS)作为其晶圆代工供应商,生产新一代芯片。

而近期台积电和三星也是动作频频。台积电先是向苹果和英伟达展示产品测试结果,后又拿下了苹果订单。据英国金融时报引述知情人士透露,台积电已向苹果和英伟达等大客户展示N2(即2nm)原型的制程工艺测试结果。

另据中国台湾工商时报报道,在全球芯片大厂争相发展2纳米制程之际,台积电再度胜出抢下苹果订单,预计2025年上市的iPhone 17 Pro将率先采用台积电2纳米芯片。

此外,近日台媒引述消息人士称,英伟达已向台积电下单销往中国大陆的人工智能处理器,这些订单是SHR (Super Hot Run,超级急件),计划于2024年第一季度开始履行。

三星方面,消息称三星已拿到了高通的订单,高通已计划下一代高端手机芯片采用三星SF2(2nm)制程生产。同时,三星将推出2nm原型,并开出折扣价,以吸引英伟达等客户。

针对三星在2nm制程采降价抢单的传闻,台积电董事长刘德音向业界表示“客户还是看技术的质量”,透露出对台积电先进制程技术与良率优势的信心。

据业内人士透露台积电即将敲定其未来3nm和2nm客户,客户不太可能转移订单。除了苹果之外,AMD、英伟达、博通联发科和高通也是台积电3nm和2nm芯片的客户。这些主要客户不太可能在2027年之前减少台积电3nm和2nm晶圆的开工量。

与此同时,日本半导体初创公司Rapidus将为加拿大公司代工2nm AI芯片。11月16日,Rapidus与加拿大初创芯片公司Tenstrent在美国交换商业谅解备忘录,前者将为后者代工AI芯片。Rapidus的目标是在2027年在日本国内量产2nm制程芯片,目前正寻求产业链的合作。

2nm关键设备EUV,厂商“抢疯了”

随着EUV光刻机在7nm以下制程的重要性日益增强,半导体大厂与ASML的合作也变得更加频繁和紧密。目前台积电与三星都在使用EUV设备进行制造,包括台积电7nm、5nm、3nm制程,三星于韩国华城建置的EUV Line (7nm、5nm及4nm)、以及3nm GAA制程等。在2nm制程上,台积电、三星、英特尔、Rapidus都已接洽ASML,其目的正是为了能使2nm制程量产的关键设备,即ASML手中最新的High-NA EUV光刻机。

ASML是一家全球最大的光刻机制造商,也是唯一一家EUV光刻机制造商。据悉,ASML,计划在2023年底前发表首台商用High-NA (NA=0.55) EUV光刻机,并在2025年量产出货,其数值孔径NA将从0.33提高到0.55,这一技术进步将使得芯片制造商能够利用超精细图案化技术来制造2nm及以下更先进制程的芯片。

ASML计划在2024年生产10台High-NA EUV光刻机,未来几年ASML计划将此类芯片制造设备产能提高到每年20台,据业界预估,High-NA EUV光刻机曝光季将会有五大客户,包括英特尔、台积电、三星、美光等。

其中,三星正准备确保下一代High-NA EUV光刻机 的产量,预计这款设备将于今年晚时推出原型,明年正式供货。值得注意的是,ASML于今年12月中旬与三星电子签署备忘录,将共同投资1万亿韩元在韩国建立研究中心,并将利用下一代极紫外(EUV)光刻机研究先进半导体制程技术。据悉,三星电子将在五年内从ASML采购50套设备,每套单价约为2000亿韩元,总价值可达10万亿韩元。

英特尔将于今年年底导入ASML High-NA EUV光刻机,用在Intel 18A 制程,据悉英特尔已采购其中6台。英特尔强调,有了High-NA EUV光刻机,理论上可实现“四年五节点制程”目标。

Rapidus决定在2024年年底引入EUV光刻机,并将派遣员工赴荷兰ASML学习EUV极紫外光刻技术,同时,ASML此前也决定在日本北海道千岁市设立技术支援部门,就近支持Rapidus芯片工厂。今年以来,Rapidus一直在与IBM、ASML、IMEC等公司合作,目标是今年派遣100名员工至IBM、ASML学习先进芯片技术。截至目前,该公司已雇佣了约300名员工。

Rapidus正在北海道建设芯片工厂,计划于2027年量产2nm制程芯片。Rapidus第一座工厂“IIM-1”已在2023年9月动工,试产产线预计2025年4月启用,2027年开始量产。该公司表示,在正式量产前,将确保招募1000名员工。

值得一提的是,台积电于今年9月宣布收购将以不超4.328亿美元的价格收购英特尔旗下子公司IMS,后者专注于研发和生产电子束光刻机。业界认为台积电此举可确保关键设备的技术开发,并满足2nm商用化的供应需求。针对2nm制程所用设备,台积电还将延续使用EUV光刻机。

2nm未见果,1.8/1.4nm现身影

今年,先进制程动态不断,尤其是3nm、2nm等最先进制程。从共同点来讲,大厂们的目标无非是为了突破芯片技术壁垒,占领新技术高地,从而拿下更多市场份额。

此前晶圆代工厂商2nm以下制程的研发时间线曝光于公众视野时,就曾引起轰动,业界激烈讨论的重点仍是,该技术的进步是否能带来更好的性能和良率等问题,而也正因此2nm以下制程技术的热度一直居高不下。

笔者针对台积电、英特尔、三星、Rapidus芯片制程研发进行了最新跟踪:台积电1.4nm开发顺利;英特尔1.8nm 18A工艺研发完成;三星计划于2027年进入1.4nm半导体制程技术领域;Rapidus正在构建1nm芯片产品的供应体制。

台积电在IEEE国际电子器件会议(IEDM)的“逻辑的未来”小组上透露,台积电1.4nm级制造技术的开发进展顺利进行。据SemiAnalysis的Dylan Patel发布的幻灯片指示,台积电的1.4nm生产节点正式命名为A14。不过关于A14量产时程及其规格,台积电暂未披露更多信息,但鉴于N2计划于2025年末、N2P计划于2026年末,业界猜测A14会在此之后2027-2028年间推出。

关于台积电是否会采用垂直堆叠互补场效应晶体管(CEFT)结构,或是沿用2nm制程将采用的环绕栅极场效应晶体管(GAAFET),以及台积电是否会在2027年至2028年期间为其A14工艺技术采用高数值孔径EUV(High NA EUV)光刻机,目前仍尚不清楚。

英特尔方面,英特尔CEO帕特·基辛格在Intel Innovation Day论坛表示,Intel 18A制程目前有许多测试晶圆正在生产中,这一技术已经研发完成,正加速进入生产阶段。

据介绍,Intel 18A节点(1.8nm)由于尺寸进一步缩小,需采用RibbonFET晶体管,使用GAA全环绕栅极架构,类似多片纳米片堆叠在一起,这样不仅能够缩小尺寸,而且栅极能够更好地控制电流的流通,同时在任意电压下提供更强的驱动电流,让晶体管开关的速度更快,从而提升晶体管的性能。

基辛格表示,英特尔定下的“4年推进5代制程”目标正在稳步实现,希望在2025年重新夺回半导体生产领导者地位,超越台积电、三星。

英特尔称Intel 18A制程不会仅供内部使用,未来也将为爱立信等外部客户代工晶圆。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圆代工客户,预计年底有望签下第四家。此外,用于下一代服务器、PC处理器的Intel 3制程技术,目前正在“除错”阶段,预计2024年即可投产。

从英特尔制程研发路线看,Intel 7制程技术已大量生产,Intel 4制程也已经量产,Intel 3制程准备开始量产,Intel 20A制程将如期于2024年量产,Intel 18A制程将是5代制程目标的终极制程,已确定相关设计规则,将于明年下半年量产。

三星方面,一直以来,三星在努力确保能采购更多EUV光刻机,目标是希望能在2024年上半年进入第二代3纳米制程技术,在2025年年底前推出2nm制程,2027年年底之前推出1.4nm制程。

三星是首家跨入并转型环绕式栅极(GAA)晶体管架构的公司,三星希望从SF3进展至SF2会相对流畅。三星旗下晶圆代工部门Samsung Foundry首席技术官Jeong Ki-tae表示,GAA结构晶体管是一项可持续的技术,此前的FinFET鳍式晶体管很难进一步改进。他还透露,三星正在与大客户就即将推出的2nm、1.4nm制程工艺进行谈判。

Rapidus方面,据报道,Rapidus、东京大学与法国半导体研究机构Leti合作,将研发1nm级别芯片设计基础技术,将在2024年开展人才交流、技术共享。Rapidus将利用Leti的技术,构建1nm芯片产品的供应体制。

报道指出,他们的共同目标是确立设计开发线宽为1.4nm~1nm半导体所需的基础技术。这一节点需要与传统不同的晶体管结构,Leti在该领域的成膜等关键技术上占优。

结语

在2nm及以下先进制程的竞争中,虽然研发最终成果还未具体披露,但从抢单、购买先进设备等动作也可见,各大厂已经在为日后未雨绸缪。丛上述披露的时间线来看,针对2nm制程的研发答案将于2025年揭晓,但更先进制程的战斗仍在继续,未来技术如何演变?我们拭目以待。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47788

    浏览量

    409128
  • gpu
    gpu
    +关注

    关注

    27

    文章

    4417

    浏览量

    126705
  • 先进制程
    +关注

    关注

    0

    文章

    66

    浏览量

    8329

原文标题:芯片先进制程之争:2nm战况激烈,1.8/1.4nm苗头显露

文章出处:【微信号:wc_ysj,微信公众号:旺材芯片】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3
    的头像 发表于 08-20 08:32 2162次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b><b class='flag-5'>先进制程</b>决战2025

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺。
    的头像 发表于 01-31 14:09 296次阅读

    苹果欲优先获取台积电2nm产能,预计2024年安装设备生产

    有消息人士称,苹果期望能够提前获得台积电1.4nm(A14)以及1nm(A10)两种更为先进的工艺的首次产能供应。据了解,台积电2nm技术开发进展顺利,预期采用GAA(全栅极环绕)技术
    的头像 发表于 01-25 14:10 184次阅读

    台积电:1.4nm 研发已经全面展开

    来源:EETOP,谢谢 编辑:感知芯视界 万仞 台积电在近日举办的IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其1.4nm 级工艺制程研发已经全面展开。同时,台积电还再次强调,2nm
    的头像 发表于 12-19 09:31 342次阅读

    台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产

    12 月 14 日消息,台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工艺制程研发已经全面展开。同时,台积电重申,2nm制程将按计
    的头像 发表于 12-18 15:13 219次阅读

    台积电1.4nm制程工艺研发持续,预计2027-2028年量产

    此外,对于台积电的1.4nm制程技术,媒体预计其名称为A14。从技术角度来看,A14节点可能不会运用垂直堆叠互补场效应晶体管(CFET)技术。
    的头像 发表于 12-15 10:23 296次阅读

    今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工艺制程
    发表于 12-14 11:16 764次阅读

    台积电1.4nm工艺研发全面启动,2nm预计2025年量产

    SemiAnalysis自媒体Dylan Patel曝光的幻灯片显示,台积电1.4nm制程的正式名称为A14。截至目前,关于该节点的具体量产日期及参数暂未公开。但是,根据其与N2及N2P等节点的生产排期预测,我们预期A14节点将会在2027至2028年度面市。
    的头像 发表于 12-14 10:27 230次阅读

    关于1.4nm,台积电重申

    首先看南韩三星电子,他们近期矢言要在2027年推出1.4纳米芯片制造,超越台积电和英特尔代工服务,也对按计划在2025年生产2纳米芯片充满信心。知名电子媒体EDN报导,三星承诺量产1.4nm
    的头像 发表于 11-23 16:04 324次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代
    的头像 发表于 10-19 17:06 905次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的
    的头像 发表于 10-19 16:59 2286次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    2nm,成为决胜点

    从晶体管效能来看,消息人士指出,Intel 4效能落在台积电5至7纳米间;Intel 20A的效能则介于2和3纳米;至于18A(1.8纳米)则可想成台积电2纳米的升级版(2nm+),这也让先进制程之争将在2纳米时更加白热化。
    的头像 发表于 09-01 14:55 416次阅读

    新思科技PVT IP:从源头解决先进制程芯片“三大拦路虎”

    致力于研发更先进芯片,一方面是在工艺制程不断推进,向3nm2nm甚至是1nm进击;另一方面是
    的头像 发表于 08-15 17:35 833次阅读
    新思科技PVT IP:从源头解决<b class='flag-5'>先进制程</b><b class='flag-5'>芯片</b>“三大拦路虎”

    2nm芯片能带来什么?2nm制程之争将全面打响?

    消费电子市场持续疲软、人工智能火热的大环境下,晶圆制造厂商积极瞄准高性能芯片2nm先进制程之争愈演愈烈。
    的头像 发表于 07-17 18:24 1659次阅读