0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

台积电冲刺2nm量产,2nm先进制程决战2025

Robot Vision 来源:电子发烧友网 作者:李宁远 2023-08-20 08:32 次阅读

电子发烧友网报道(文/李宁远)近日有消息称,台积电将组建2nm任务团冲刺2nm试产及量产。根据相关信息,这个任务编组同时编制宝山及高雄厂量产前研发(RDPC)团队人员,将成为协助宝山厂及高雄厂厂务人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。

从1971的10000nm制程到5nm,从5nm向3nm、2nm发展和演进,芯片制造领域制程工艺的角逐从来未曾停歇,到现在2nm芯片大战已经全面打响。

先进制程工艺演进,逼近物理极限

制程,是指特定的半导体制造工艺及其设计规则。芯片工艺中的nm单位,用于衡量芯片制造工艺中的线宽尺寸,不同的制程意味着不同的电路特性,芯片工艺的数字越小,表示线宽尺寸越小,芯片制造工艺越先进。

制程越小,器件尺寸才能更小,半导体集成度才能更高,也是区分不同半导体制造工艺换代的标志。一般来说,制程节点越小意味着晶体管越小速度越快、能耗表现越好。

英特尔的第一颗CPU开始,芯片制程由10000nm开始以飞快的发展速度向更小的制程节点逼近,1977年芯片制程发展到3000nm,1987年发展到800nm。从1990年制程演进到600nm开始,先进制程的发展再一步提速,基本上每几年就会跃升到下一个更先进的制程节点。

2020年,5nm制程芯片(苹果A14)首次成功应用。而现在,随着半导体技术的飞速发展,先进制程的角逐已经围绕着5nm以下的工艺展开。

随着制程节点由5nm向3nm、2nm发展和演进,芯片制造的难度逐步逼近摩尔定律的物理极限,从制程进步中获得芯片性能提升的难度和成本越来越高。如今3nm战场方兴未艾,2nm的竞争已经全面打响。

2nm芯片到底能带来怎样的提升呢?根据2021年IBM在实验阶段制成的2nm芯片,其大小只有150mm²,而这颗芯片内部每平方毫米有着3.3亿个晶体管,整块芯片中可以安装500亿个晶体管。根据IBM的评估,2nm技术相较于7nm技术,性能方面将得到45%的提升,在同等性能下功耗能够减少75%。

先进制程给芯片带来的性能提升是很明显的,2nm芯片成功量产后无疑将再一次引发芯片行业的更新换代。不论是智能手机电脑、可穿戴设备、还是自动驾驶、数据中心等等应用,这些领域一旦使用上2nm工艺的芯片,那么在性能方面将实现飞跃式发展,且能耗明显下降。

从更长远的角度看,2nm后还有更极限的先进制程,1nm制程、0.2nm制程将进一步逼近物理极限。

2nm先进制程现状与进展

高端芯片市场,从来不缺少竞争,5nm、3nm已经满足不了头部厂商对制程工艺的发展需求,2nm已经成为现在高端芯片制造商布局的重点。

近日台积电组建了2nm任务团冲刺2nm试产及量产,预计明年可实现风险性试产,2025年量产。此前台积电中科2nm厂延期,台积电直接将高雄厂切入2nm ,组建团队冲刺量产也是看到了目前2nm在人工智能风口下的商机。

苹果及英伟达等芯片大厂都对台积电2nm制程保持关注,此前黄仁勋曾表态,未来新一代服务器芯片将会全面采用台积电2nm制程。而其他竞争厂商也都于今年在2nm项目上摩拳擦掌。

台积电自然不会轻易让出在2nm的话语权,目前台积电2nm节点改用GAA纳米片晶体管架构,在N2的良率和性能上都取得了“扎实的进展”,并预计2025年投入生产时,在相同功率下速度将比N3E提高15%,或者在相同速度下功耗最多可降低30%。如果进展顺利,苹果和英伟达将成为台积电2nm的首批客户。

三星同样不甘示弱,在今年的第7届三星晶圆代工论坛上,三星官宣将于2025年实现应用在移动领域2nm工艺的量产,于2026和2027分别扩展到HPC及汽车电子。这不是三星首次对外公布2nm计划,此前三星半导体业务总裁Kyung Kye-hyun也曾公开表示,三星将在2nm工艺中赶超台积电成为客户的首选。

三星将2nm工艺视为超越台积电重返领先先进制程地位的关键。其中一个原因在于实现2nm芯片的GAA技术,三星积累的相当丰富。三星的3nm工艺上就已经采用了该技术,相比之下台积电转向GAA相对保守。

更成熟的GAA技术,给了三星超越台积电的信心,根据三星的评估,2nm工艺比目前的3nm工艺,面积将减少5%、性能提高12%、功效提高25%。

除了这两家在先进制程上常年占据头部的厂商,英特尔在2nm战场上同样跃跃欲试,与ARM携手研发能与台积电、三星2nm制程相媲美的工艺。根据相关消息,目前Intel 18A(1.8nm)和Intel 20A(2nm)制造工艺的开发已经完成,均计划于2024年量产。

在上市时间上,英特尔的18A和20A早于台积电和三星的2nm量产时间,根据英特尔的说法,不仅在进度上会领先,18A工艺的技术水平也会超过台积电和三星的2nm工艺。如果台积电和三星研发进度不及预期,英特尔进度正常,那么实现超车夺取2nm技术龙头的期望并不是不可能。

除了这三雄争霸,日本Rapidus也强势加入2nm战场,日本众多头部厂商合资的Rapidus正紧锣密鼓攻克2nm制程,意图在先进制程赛道上占得一席之地。

先进制程的角逐,2nm不会是终点

2nm芯片的大战,将在2025年各家2nm芯片量产后进入白热化。从技术储备上来看,各家的量产实力都是毋庸置疑的,量产后的良率差异也只能到时见分晓。

而先进制程上的竞争,并不会止步于2nm,在各家规划的2nm量产后路线,都还有各自的下一步布局。比如背面供电技术,台积电和三星均在制程路线上布局了该技术,该技术的应用将进一步提升功率和晶体管密度。

除此之外,1.4nm工艺的研发甚至1.4nm以下更先进制程技术的研发,也已经出现在规划上。巨头们先进制程的拉锯战,终点不会在2nm上,巨头们你来我往,在一个个节点的拉锯战上不断探索着摩尔定律的极限。

小结

2nm虽然将进一步革新芯片性能和功耗,但是其成本也是高昂的。相关数据显示,3nm芯片晶圆的价格超过2万美元/片,而2nm芯片晶圆的价格将会超过2.5万美元/片。高昂的代工费最终会由终端的消费者买单,市场接受度如何还很难说。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5276

    浏览量

    164795
  • 2nm
    2nm
    +关注

    关注

    1

    文章

    195

    浏览量

    4357
收藏 人收藏

    评论

    相关推荐

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺。
    的头像 发表于 01-31 14:09 295次阅读

    台积电2nm制程稳步推进,2025年将实现量产

    得益于2nm制程项目的顺利推进,宝山、高雄新晶圆厂的建造工程正有序进行。台中科学园区已初步确定了A14与A10生产线的布局,具体是否增设2nm制程工艺将根据市场需求再定。
    的头像 发表于 01-16 09:40 248次阅读

    台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025量产

    12 月 14 日消息,台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工艺制程研发已经全面展开。同时,台积电重申,2nm制程将按计
    的头像 发表于 12-18 15:13 219次阅读

    今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4
    发表于 12-14 11:16 764次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 829次阅读

    台积电有望2025量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新
    的头像 发表于 10-20 12:06 970次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    N2,也就是2nm,将采用GAAFET全环绕栅极晶体管技术,预计2025年实现量产2nm芯片是指采用了2nm
    的头像 发表于 10-19 17:06 904次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    可以容纳更多的晶体管在同样的芯片面积上,从而提供更高的集成度和处理能力。此外,较小的节点尺寸还可以降低电路的功耗,提供更高的能效。可以说,2nm芯片代表了制程工艺的最新进展和技术创新。 2nm芯片什么时候
    的头像 发表于 10-19 16:59 2282次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管
    的头像 发表于 08-07 16:22 491次阅读

    台积电高雄厂28nm计划改为2nm

    据台媒援引消息人士报道,由于需要应对 AI 浪潮,台积电将改变高雄建厂计划,计划由原先的“成熟制程”更改为更先进2nm 制程,预计 2025
    的头像 发表于 07-22 16:32 913次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积
    的头像 发表于 07-18 15:19 724次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm芯片能带来什么?2nm制程之争将全面打响?

    消费电子市场持续疲软、人工智能火热的大环境下,晶圆制造厂商积极瞄准高性能芯片,2nm先进制程之争愈演愈烈。
    的头像 发表于 07-17 18:24 1659次阅读

    三星电子2nm制程工艺计划2025量产 2027年开始用于代工汽车芯片

    外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备
    的头像 发表于 06-30 16:55 497次阅读

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 497次阅读
    <b class='flag-5'>2nm</b>大战 全面打响