0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>

EDA/IC设计

电子发烧友网本栏目为EDA/IC设计专区,有丰富的EDA/IC设计应用知识与EDA/IC设计资料,可供EDA/IC行业人群学习与交流。

西门子布宣布与台积电携手优化芯片设计过程

用于集成电路(IC)验证sign-off的Calibre nmPlatform工具现已获得台积电的N2工艺认证,可为早期采用台积电N2工艺技术的厂商提供全面支持。...

2023-10-20 标签:集成电路台积电西门子芯片设计eda 133

思必驰:离线语音识别芯片简介

思必驰:离线语音识别芯片简介

一.使用场景夏天某个凉爽的早晨,当你躺在床上玩着手机,突然一阵困意袭来,原来已经中午了,此时你一个侧身准备休息,突然发现一阵酷热袭来,你定睛一看,原来是风扇没有打开,这个...

2023-10-19 标签:语音识别语音芯片语音控制语音模块智能语音芯片 400

中微电荣获第十五届中国深圳创新创业大赛行业决赛三等奖

中微电荣获第十五届中国深圳创新创业大赛行业决赛三等奖

中微电科技“高性能自主安全 GPU 芯片‘南风一号’”项目荣获三等奖,从七千多个参赛项目中脱颖而出,成功晋级中国创新创业大赛。...

2023-10-19 标签:芯片gpu中微电科技gpu中微电科技芯片 766

亚信AX88179B新品亮相:即刻体验无需驱动的USB以太网连网

亚信AX88179B新品亮相:即刻体验无需驱动的USB以太网连网

亚信电子推出最新一代免趋动(Driverless)USB千兆以太网芯片—【AX88179BUSB3.2Gen1转千兆以太网控制芯片】,提供客户一个即插即用(PlugandPlay)的USB转千兆以太网芯片解决方案,无需烦人的驱动程...

2023-10-19 标签:芯片以太网usb 607

思尔芯出席Arm Tech Symposia,创新解决方案助力Arm架构前端验证

思尔芯出席Arm Tech Symposia,创新解决方案助力Arm架构前端验证

ArmTechSymposia年度技术大会再次启动,今年的规模更为扩大,覆盖了亚太地区的四大市场及七大城市。思尔芯,作为数字EDA领域的知名供应商,受邀参加此次技术大会,分别在台北、东京、深圳、...

2023-10-18 标签:ARM架构思尔芯 455

中微电荣获第八届“创客中国”深圳市中小企业创新创业大赛二等奖

中微电荣获第八届“创客中国”深圳市中小企业创新创业大赛二等奖

中微电科技“高性能自主安全 GPU 芯片”项目荣获二等奖,并成功晋级全国赛,与来自全国各地的500强精英企业、团队共同角逐第八届“创客中国”中小企业创新创业大赛。...

2023-10-17 标签:芯片gpu中微电科技 560

一颗芯片设计成型的主要四个部分

一颗芯片设计成型的主要四个部分

时序分析和验证时出现的错误可能需要反复重做前面几步才能解决,是一个多次迭代优化的过程。 下面我来仔细介绍一下这六个步骤。...

2023-10-17 标签:asicIC设计芯片设计asicIC设计测试封装芯片设计 355

10套升级至50套,0元SMT免费炫酷升级!

10套升级至50套,0元SMT免费炫酷升级!

华秋SMT免费贴片...

2024-03-06 标签:贴片smtPCBA华秋 174

IC设计:clock-gating综合实现方案

IC设计:clock-gating综合实现方案

当CK为0时,ECK 恒定为0, q值为E:如果E为1,则q为1,如果E为0,则q为0。 当CK为1时,ECK 恒定为q(n),即对应的上一次CK为0时,锁存的E值。 因此最终的效果就是,只要E配置成了0,那么ECK会在...

2023-10-16 标签:asic寄存器IC设计波形图 378

单片机_DSP_PLD/EDA的比较和分析

广泛用于单片机设计系统克服了纯SSI数字电路系统许多不可逾越的困难,是一个具有非凡意义的飞跃。而DSP以其极强的信号处理功能赢得了广阔的市场,得到了广泛地应用。近年来,PLD器件迅速...

2023-10-15 标签:dsp单片机eda 641

国内主要AI SoC企业汇总,应用领域涉及智能硬件、安防、车载等

国内主要AI SoC企业汇总,应用领域涉及智能硬件、安防、车载等

电子发烧友网报道(文/李弯弯)SoC是System on Chip的缩写,直译是“芯片级系统”。在集成电路领域,SoC的定义是,由多个具有特定功能的集成电路组合在一个芯片上形成的系统或产品,其中包含...

2023-10-16 标签:SoC芯片AI应用领域 5662

5G射频芯片厂商上半年业绩对决!华为带来新机遇,开启5G新品新一轮竞技

5G射频芯片厂商上半年业绩对决!华为带来新机遇,开启5G新品新一轮竞技

电子发烧友网报道(文/刘静)距华为Mate60 Pro首次突袭上架已经过去了一个多月,Mate60系列的订购数量据说已达到了令人咋舌的1500万至1700万台,多家第三方机构预估甚至超过这个数,高达2000万...

2023-10-15 标签:射频芯片5G业绩 5737

中微爱芯携手国芯思辰亮相慕尼黑华南电子展,助力芯片国产化替代

BGA芯片封装和IC芯片封装在不同应用场景下的适用性

BGA芯片封装(Ball Grid Array)和IC芯片封装(Integrated Circuit)是两种常见的芯片封装技术。...

2023-10-12 标签:DIP封装BGA封装芯片封装BGA芯片IC芯片 369

多维演进,合见工软重磅发布多款国产自研新一代EDA工具与IP解决方案

2023 年10月12日,上海合见工业软件集团有限公司(简称“合见工软”) 正式发布“EDA新国产多维演进战略”并同时重磅发布了多款全新国产自主自研的EDA与IP产品。产品覆盖全场景数字验证硬件...

2023-10-12 标签:eda 456

PCB设计/ 制造数据交换技术及标准化

PCB设计/ 制造数据交换技术及标准化

 Gerber是事实上的PCB 数据工业标准,仍在广泛应用。从1970 年问世的Gerber 原型到1992年的Gerber 274X ,虽经不断改良,但对于日趋复杂的设计,一些与PCB 加工和组装的相关信息在Ger2ber 格式中仍无...

2023-10-12 标签:EDA工具GerberPCB 311

Virtuoso中使用skill脚本实现不同pdk的替换

Virtuoso中使用skill脚本实现不同pdk的替换

IC设计中,时常会遇到工艺替换的问题,使用新工艺替换旧工艺,或者这家的换那家的。...

2023-10-12 标签:IC设计 2910

新思科技结合EDA领域知识和GenAI强大技术重塑芯片设计

在近期举行的SEMICON West展会上,新思科技与Advantest、瑞萨电子、TinyML、Gartner等四家半导体公司共同探讨了人工智能在半导体领域的机遇与挑战。会上,嘉宾们就优化复杂人工智能应用的功耗、...

2023-10-11 标签:芯片设计eda人工智能新思科技 627

基于FPGA原型设计的SoC开发

基于FPGA原型设计的SoC开发

所有形式的原型都为验证硬件设计和验证软件提供了强大的方法,模型或多或少地模仿了目标环境。基于FPGA的原型设计在项目的关键后期阶段尤其有益。用户有几个原型设计选项根据他们的主...

2023-10-11 标签:FPGAasicsoc芯片设计eda 313

SKiN技术到底是什么样的

SKiN技术到底是什么样的

SKiN技术由2011年开始使用,包括将芯片烧结到DCB基板,将芯片的顶部侧烧结到柔性电路板,以及将基板烧结到针翅片散热器。该技术减小了模块的体积和重量,以及极低的杂散电感(可以低至...

2023-10-11 标签:芯片西门子散热器IGBTSKiN技术 515

什么是SOI衬底?SOI衬底的优势是什么?

什么是SOI衬底?SOI衬底的优势是什么?

SOI是Silicon-On-Insulator的缩写。直译为在绝缘层上的硅。实际的结构是,硅片上有一层超薄的绝缘层,如SiO2。在绝缘层上又有一层薄薄的硅层,这种结构将有源硅层与衬底的硅层分开。而在传统的...

2023-10-10 标签:集成电路寄生电容SOI芯片制程 1369

中国企业成为三星第二大EDA合作伙伴

与美国EDA公司相比,中国EDA公司的授权费用更具吸引力。虽然在技术方面可能还不及美国企业,但考虑到性价比,中国EDA公司依然有一定的竞争优势。...

2023-10-10 标签:三星电子晶圆eda晶圆代工 750

半导体芯片晶体管具体如何缩小

半导体芯片晶体管具体如何缩小

在摩尔定律的指导下,集成电路的制造工艺一直在往前演进。得意于这几年智能手机的流行,大家对节点了解甚多。例如40 nm、28 nm、20 nm、16 nm 等等,要知道的这些节点的真正含义,首先要解析...

2023-10-10 标签:集成电路摩尔定律微处理器晶体管半导体芯片 794

聊聊芯片设计、流片那些事

聊聊芯片设计、流片那些事

晶圆厂每年都会有固定的几次MPW机会,叫Shuttle (班车),到点即发车,是不是非常形象不同公司拼Wafer,得有个规则,MPW按SEAT来锁定面积,一个SEAT一般是3mm*4mm的一块区域,一般晶圆厂为了保...

2023-10-10 标签:MPW芯片设计光刻半导体芯片 773

漫谈芯片制造与封装技术

漫谈芯片制造与封装技术

华为麒麟9905G的芯片面积约113平方毫米,片12英寸硅片上大约可生产600颗芯片。每颗芯片上大约集成了103亿只晶体管。...

2023-10-10 标签:芯片芯片设计封装技术晶体管芯片制造 256

芯片设计测试中scan和bist的区别

芯片设计测试中scan和bist的区别

Scan stitching 是把上一步中得到的Scan DFF的Q和SI连接在一起形成scan chain。在芯片的顶层有全局的SE信号,以及scan chain的输入输出信号:SI 和 SO。通过scan chain的连续动作,就可以把问题从对复杂时...

2023-10-09 标签:芯片寄存器芯片设计BISTScan 1767

详细介绍数字IC设计的全流程内容

一颗芯片是如何造出来的,相信对行业稍有涉猎的同学,都能简单作答:即先通过fabless进行设计,再交由Foundry进行制造,最后由封测厂交出。...

2023-10-09 标签:寄存器IC设计RTLDRC静态时序分析 1071

初级数字IC设计-加法器

初级数字IC设计-加法器

加法器(Adder)** 是非常重要的,它不仅是其它复杂算术运算的基础,也是** CPU **中** ALU **的核心部件(全加器)。...

2023-10-09 标签:IC设计加法器半加器IC设计全加器电路加法器半加器 782

高级数字IC设计之灰度转二值化设计

高级数字IC设计之灰度转二值化设计

这是一个能够成功上板实现的灰度转二值的 Verilog 程序设计,详细的数据延时与信号延时如下所示...

2023-10-09 标签:IC设计RGBVerilog语言 134

上半年,中国芯片进口额大幅降低22.4%

从芯片巨头到行业协会,美国芯片行业联合行动,希望赶在拜登政府升级限制措施前,趁着中美关系趋缓的窗口期做最后的努力,以阻止拜登政府继续迈出“反噬美国”的一步。其中高通向中国...

2023-10-09 标签:芯片集成电路高通人工智能 704

编辑推荐厂商产品技术软件/工具OS/语言教程专题