电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>构建未来计算引擎,英特尔下注3D芯片堆叠技术

构建未来计算引擎,英特尔下注3D芯片堆叠技术

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

3D动画原理:电阻

电阻3D
深圳崧皓电子发布于 2024-03-19 06:49:19

苹果M3芯片相当于英特尔什么处理器

苹果M3芯片在性能上相当于英特尔的顶级处理器,具有出色的计算能力和高效的功耗控制。与英特尔的顶级芯片相比,M3芯片在多个方面都表现出色,特别是在处理复杂任务和运行大型应用时,其性能优势更加明显。
2024-03-13 16:36:511034

苹果M3芯片英特尔芯片的差距

苹果M3芯片英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,使其具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-11 18:21:031214

m3芯片相当于英特尔几代cpu m3芯片相当于英特尔什么显卡

m3芯片相当于英特尔几代cpu 关于m3芯片相当于英特尔几代cpu的问题,实际上并没有一个准确的答案,因为不同的芯片制造商与英特尔的CPU产品线在性能、架构和用途等方面都存在一定的差异,因此很难进行
2024-03-11 18:13:171784

苹果M3芯片英特尔芯片对比

苹果M3芯片英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-08 16:12:54337

英特尔成立Altera新公司,专注FPGA端到端解决方案

来源于英特尔的可编程芯片分部于今年初独立运营,随后确定名为“Altera,英特尔旗下公司”的正式名号。英特尔计划在未来两年内为Altera融资上市。
2024-03-04 09:59:22150

英特尔:2025年全球AIPC将超1亿台占比20%

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-29 09:15:26

英特尔1nm投产时间曝光!领先于台积电

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-28 16:28:32

Intel NUC专业机箱元件

Intel NUC专业机箱元件英特尔® NUC专业机箱元件是模块化金属机箱,设计用于容纳和运行英特尔NUC计算元器件。该机壳产品有两种设计选择:用于大多数协作环境的基础版本和用于需要更多I/O的视频
2024-02-27 11:55:30

英特尔拿下微软芯片代工订单

。此外,英特尔还宣布推出了全球首个专为人工智能(AI)时代设计的系统级晶圆代工服务(Systems Foundry),并透露微软已成为其首个重要客户,将采用Intel 18A制程技术打造新芯片
2024-02-26 10:01:22204

英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-26 08:58:21

最新技术英特尔于IFS Direct Connect会议上公布3D芯片技术、逻辑单元、背面供电等未来代工技术

芯片技术。这些进步包括更密集的逻辑以及内部连接性增加16倍的3D堆叠芯片,它们将是该公司与其他公司的芯片架构师共享的首批高端技术之一。 这些新技术将达到英特尔长达数年转型的顶峰。这家处理器制造商正在从一家只生产自己芯片的公司转变为
2024-02-25 10:22:01141

英特尔宣布推进1.4纳米制程

,台积电和三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明英特尔有意在制程技术领域迎头赶上,计划在未来几年内推出更为先进的1.4纳米芯片。这一制程技术的推进将是英特尔为实现2025年之前进入2纳米芯片生产
2024-02-23 11:23:04172

微软将使用英特尔的18A技术生产芯片

微软将使用英特尔的18A技术生产芯片 据外媒报道微软公司计划使用英特尔的18A制造技术生产自研芯片。但是目前没有确切的消息表明微软将生产什么芯片,但是业界多估计是人工智能加速器。
2024-02-22 17:35:11356

英特尔实现3D先进封装技术的大规模量产

近日,英特尔宣布已经实现了基于业界领先的半导体封装解决方案的大规模生产,其中包括其突破性的3D封装技术Foveros。这项技术为多种芯片的组合提供了前所未有的灵活选择,为功耗、性能和成本优化带来了显著的提升。
2024-02-01 14:40:41287

英特尔登顶2023年全球半导体榜单之首

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-01 11:55:16

英特尔和AMD处理器的区别和特点

英特尔和AMD处理器的区别和特点 英特尔(Intel)和AMD是全球最著名的两个处理器制造商。他们都提供高性能、可靠的芯片,为消费者和企业用户提供强大的计算能力。然而,他们之间存在很多区别和特点
2024-01-30 14:28:331032

英特尔实现大规模生产3D封装技术Foveros

英特尔最近宣布,他们已经实现了基于业界领先的半导体封装解决方案的大规模生产,其中包括具有划时代意义的3D封装技术Foveros。
2024-01-26 16:53:24911

英特尔量产3D Foveros封装技术

英特尔在封装技术方面取得了重大突破,并已经开始大规模生产基于3D Foveros技术的产品。这项技术使得英特尔能够在单个封装中整合多个小芯片(Chiplets),从而提高了芯片的性能、尺寸和设计灵活性。
2024-01-26 16:04:50231

英特尔3D封装技术实现大规模量产

近日,英特尔(Intel)宣布,其已成功实现基于业界领先的半导体封装解决方案的大规模生产,其中包括突破性的3D封装技术Foveros。这一技术在新墨西哥州Fab 9工厂中完成升级并投产。
2024-01-26 16:03:15238

英特尔实现3D先进封装技术的大规模量产

英特尔宣布已实现基于业界领先的半导体封装解决方案的大规模生产,其中包括英特尔突破性的3D封装技术Foveros,该技术为多种芯片的组合提供了灵活的选择,带来更佳的功耗、性能和成本优化。 这一技术
2024-01-25 14:24:34118

英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

处理器英特尔
looger123发布于 2024-01-10 17:44:38

友思特C系列3D相机:实时3D点云图像

3D相机
虹科光电发布于 2024-01-10 17:39:25

英特尔在以色列投资250亿美元建芯片工厂

英特尔宣布将在以色列南部建造价值250亿美元的芯片工厂,
2023-12-27 15:58:54145

影像仪激光扫描3d成像

中图仪器Novator系列影像仪激光扫描3d成像采用大理石主体机台和精密伺服控制系统,将传统影像测量与激光测量扫描技术相结合:可支持搭载高精度线扫激光测头,无接触扫描3D轮廓成像,抑制多重反射,能够
2023-12-27 09:22:57

英特尔CEO基辛格:摩尔定律放缓,仍能制造万亿晶体

帕特·基辛格进一步预测,尽管摩尔定律显著放缓,到2030年英特尔依然可以生产出包含1万亿个晶体管的芯片。这将主要依靠新 RibbonFET晶体管、PowerVIA电源传输、下一代工艺节点以及3D芯片堆叠技术实现。目前单个封装的最大芯片含有约1000亿个晶体管。
2023-12-26 15:07:37312

英特尔希望在2024年超越其芯片制造竞争对手

过去五年来,英特尔在先进芯片制造方面一直落后于台积电和三星。现在,为了重新夺回领先地位,该公司正在采取大胆且冒险的举措,在其台式机和笔记本电脑Arrow Lake处理器中引入两项新技术,该处理器将于2024年末推出。英特尔希望凭借新的晶体管技术和首创的电力输送系统超越竞争对手。
2023-12-25 14:50:38317

英特尔携抖音推进智能应用 开启AI PC新时代

机遇。会上,英特尔中国客户端计算产品事业部高级产品总监徐金平分享了英特尔如何携手抖音推开AI PC新时代的大门。 为了进一步推动智能应用的发展,为用户提供更极致的应用体验,英特尔依托对技术应用趋势的深入洞察,积极推动智能技术
2023-12-23 12:25:02685

英特尔有望于2024年领先芯片制造竞争对手

近五年来,英特尔在高级芯片制造领域落后于台积电和三星。如今,为重新赢得领先地位,英特尔正大胆而冒险地引入两项全新技术,即新型晶体管技术和首创的电源交付系统,这两项技术将被应用在计划于2024年底发布的桌面和笔记本电脑的Arrow Lake处理器中。
2023-12-19 11:58:26278

第五代英特尔至强可扩展处理器 AI 性能大幅提升,英特尔加注推动人工智能无处不在

Rapids)。期间,英特尔亦与生态伙伴分享了该全新产品在京东云、百度智能云、阿里云、火山引擎的成功实践及其应用价值。        第五代英特尔® 至强® 可扩展处理器在提高人工智能、科学计算、网络、存储、数据库、安全等关键工作负载的每瓦性能①,以及降低总体拥有成本(
2023-12-18 17:22:04380

第五代英特尔至强可扩展处理器,为AI加速而生

今日,在以“AI无处不在 创芯无所不及”为主题的2023英特尔新品发布会暨AI技术创新派对上,英特尔正式推出第五代英特尔® 至强® 可扩展处理器(代号Emerald Rapids)。期间,英特尔亦与生态伙伴分享了该全新产品在京东云、百度智能云、阿里云、火山引擎的成功实践及其应用价值。
2023-12-16 15:53:13180

英特尔展示下一代晶体管微缩技术突破,将用于未来制程节点

在IEDM 2023上,英特尔展示了结合背面供电和直接背面触点的3D堆叠CMOS晶体管,这些开创性的技术进展将继续推进摩尔定律。
2023-12-11 16:31:05342

英特尔宣布完成PowerVia背面供电技术的开发

英特尔在2023年国际电子设备制造大会上宣布,他们已经成功完成了一项名为PowerVia的背面供电技术的开发。这个技术是基于英特尔的最新晶体管研究成果,它实现了互补金属氧化物半导体场效应晶体管
2023-12-11 16:10:42501

2023 英特尔On技术创新大会中国站“剧透”:五大专题论坛,全面赋能AI开发

中国专家将深度讲解最新一代增强AI能力的计算平台,支持开放、多架构的软件方案,塑造未来技术和应用创新。欢迎大家扫描海报二维码注册参会! 人工智能 在包括客户端、边缘计算和数据中心的英特尔平台
2023-12-08 19:15:02205

英特尔发布气候转型行动方案

的承诺。 全球正在迅速扩张,计算能力已成为地球上每个人拥有更多机会、更美好未来的基础,它有能力让各行各业变得更加可持续,并为应对气候变化提供新的解决方案。我们都正利用硅的神奇力量不断推动经济发展,这些微小的芯片
2023-11-24 20:00:02246

英特尔® 3010 芯片组特点介绍

电子发烧友网站提供《英特尔® 3010 芯片组特点介绍.pdf》资料免费下载
2023-11-14 14:42:360

英特尔服务中国不遗余力 顺应变化 引领未来

英特尔在这两年对于半导体技术的宣传仍然不遗余力:无论是半导体制造展望1-2年后的Intel 18A工艺,还是先进封装hybrid bonding互联间距缩减至3μm的未来技术,亦或更前沿的2D材料
2023-11-08 10:29:54243

#高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔芯片王朝

高通英特尔苹果
深圳市浮思特科技有限公司发布于 2023-10-27 16:46:07

3D芯片堆叠是如何完成

长期以来,个人计算机都可以选择增加内存,以便提高处理超大应用和大数据量工作的速度。由于3D芯片堆叠的出现,CPU芯粒也有了这个选择,但如果你想打造一台更具魅力的计算机,那么订购一款有超大缓存的处理器可能是正确的选择。
2023-10-15 10:24:23370

英特尔助力火山引擎 推动数据飞轮加速运转

9月19日,火山引擎在上海举办了“数据飞轮·2023火山引擎V-Tech数据驱动科技峰会”。会上,英特尔作为其战略合作伙伴,深度参与了本次大会,并发表了针对大数据的相关见解。 01 数据飞轮:数据
2023-10-13 21:10:02717

2023英特尔on技术创新大会:英特尔研究院展示多项技术“魔法”

中探索如何帮助人类应对在计算、连接、从云到边缘的基础设施、AI、传感和感知等领域面临的重大技术挑战。 这就是英特尔研究院(Intel Labs)在做的事情。2023英特尔on技术创新大会期间,英特尔研究院院长Rich Uhlig介绍了英特尔在AI、集成光电
2023-09-26 17:25:58268

英特尔研究院副总裁、英特尔中国研究院院长宋继强:英特尔技术为全行业带来卓越贡献

英特尔作为全球资深芯片厂商,为广大消费者所认知的是其高性能的PC、服务器、移动端处理器,但是忽略了作为行业众多协议标准的制定者和领导者,其技术底蕴是非常深厚的。近日,我们收到了来自英特尔研究院对于
2023-09-26 14:06:41289

一图读懂英特尔云原生开源技术

作为KubeCon China 2023 大会的钻石赞助商,9月26日-28日,英特尔在现场会有一个大的技术展示厅,其中包含10个现场展示,涵盖云原生基础设施,安全,人工智能以及可持续计算等。 欢迎
2023-09-23 10:10:08345

台积电、英特尔携手推出全球首款小芯片互联

强强联手!英特尔于创新日上展示了世界第一个UCIe连接的Chiplet(小芯片)处理器。此芯片汇聚两大晶圆代工厂尖端技术,分别将使用Intel 3,以及TSMC N3E的Synopsys(新思科
2023-09-22 18:17:02451

英特尔首席执行官帕特·基辛格会前畅谈2023年on技术创新大会

在太平洋时间9月19日2023年英特尔on技术创新大会开幕前夕,我有幸采访了英特尔公司首席执行官帕特·基辛格,借此机会了解了英特尔在过去一年中取得的进展,同时也对英特尔在客户端计算、数据中心、边缘
2023-09-19 21:56:09260

收购英特尔基带业务,苹果致力自研

苹果为了减少对高通的依赖度,一直在持续开发基带芯片,并于2019年以10亿美元收购了英特尔的基带芯片事业。2019年,英特尔退出了5g手机基带芯片项目。英特尔宣布将集中投资5g网络基础设施事业的发展。
2023-09-13 09:54:30580

英特尔Agilex FPGA的优势和特性

英特尔推出全新英特尔 Agilex 7 FPGA,以支持在英特尔 DevCloud 中运行 oneAPI 基础工具套件(基础套件)工作负载,使您能够利用基于全新英特尔 FPGA 的高性能与低功耗计算解决方案。
2023-09-08 09:09:53605

3d打印技术是人机交互技术3d打印包括哪三种主流技术

3D打印是一种数字制造技术,也被称为增材制造(Additive Manufacturing),它可以将数字三维模型逐层地转化为实体物体。与传统的减材制造方式(如切削加工)不同,3D打印是一种将物体逐层堆叠构建技术
2023-08-28 16:11:06771

英特尔先进封装全球布局 在马来西亚将有六座工厂

  先进封装则被视为延续摩尔定律寿命的重要技术英特尔(Intel)最新电脑处理器Meteor Lake将在9月发布,采用英特尔最先进3D IC封装技术「Foveros」,透过堆叠的封装方式,增进
2023-08-28 11:08:141860

英特尔携手PC产业伙伴,迈向规模化应用AI的未来

以第12代、第13代英特尔酷睿处理器和英特尔锐炫A系列显卡为代表的英特尔多款客户端芯片均能提供强劲性能,以满足生成式AI(AIGC)对于高算力的需求,在此基础上,英特尔还通过软件生态的构建和模型优化
2023-08-24 15:40:29400

光学3D表面轮廓仪可以测金属吗?

光学3D表面轮廓仪是基于白光干涉技术,结合精密Z向扫描模块、3D 建模算法等快速、准确测量物体表面的形状和轮廓的检测仪器。它利用光学投射原理,通过光学传感器对物体表面进行扫描,并根据反射光的信息来
2023-08-21 13:41:46

英特尔将在美国加州裁撤140名研发人员

英特尔的福尔瑟姆园区被用于固态硬盘(SSD)、图形处理器、软件甚至芯片的开发,甚至芯片开发等多种研究开发。英特尔计划在2021年出售3d nand和ssd事业部门后,将适当的专家转移到solidm或使其退出。
2023-08-18 11:27:43645

杰华特x英特尔,携手推动计算领域的持续创新

,并在展区展示了基于JWH6396+JWH7030的Intel® IMVP9.1/9 VRM整体解决方案。 7月29日,英特尔大湾区科技创新中心于深圳市南山区开幕,该中心将聚焦人工智能、芯片应用开发、边缘计算、数字化发展等领域,展示了英特尔与合作伙伴携手打造的数据中心、工
2023-08-17 17:11:22689

m3芯片相当于英特尔什么水平?

m3芯片相当于英特尔什么水平? M3芯片是一种用于移动设备的处理器芯片,由ARM架构开发,可以用于智能手机、平板电脑和其他移动设备。它最初是由华为公司自主设计并制造的,后来被其他厂商采用。那么,M3
2023-08-16 11:33:316032

异构计算场景下构建可信执行环境

芯片也好,华为的芯片也好,如果仔细去看,上面都构建着小的TEE环境,其实早就把CPU包进去了,但是这些技术并没有反哺到整个计算系统中。 备注:图片来自于公开资料 金意儿教授最后提到,到底传统的TEE
2023-08-15 17:35:09

安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

从Docker映像为Raspbian OpenVINO工具套件的安装过程

OpenVINO 开源技术中心 复制用于 Raspbian* 操作系统包的®工具套件的链接。选择最新版本,右键单击 URL,然后按 Copy 链接地址。 要构建用于神经计算英特尔® Movidius™或
2023-08-15 06:59:02

如何使用交叉编译方法为Raspbian 32位操作系统构建OpenVINO工具套件的开源分发

已在下面列出的操作系统上启动并运行。硬件 Raspberry Pi* 4(Raspberry Pi* 3 B+ 型号应该正常工作。) 至少 16 GB microSD 卡 英特尔® 神经电脑棒 2
2023-08-15 06:28:45

英特尔将大规模扩张晶圆厂

根据向州监管机构提交的新文件,英特尔计划在未来五年对其希尔斯伯勒研究工厂进行大规模升级,这一扩建可能会巩固俄勒冈州作为该芯片制造商技术开发核心的地位。
2023-08-04 10:39:15842

如何利用数据构建零售智能?

英特尔的Marta Muszynska 和戴尔的Siobhan Lynch 讨论“如何利用数据建立零售情报”
2023-08-04 07:51:49

英特尔媒体加速器参考软件Linux版用户指南

英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器参考软件。
2023-08-04 06:34:54

实时3D艺术最佳实践-灯光指南

的照明技术下看起来更糟。 Unity游戏引擎使灯光工作简单易懂。的手机游戏的表现受到你的照明决定的影响,所以需要使用照明高效。 本指南也可在统一学习课程的格式-手臂和统一呈现:3D艺术 移动应用程序
2023-08-02 08:34:42

实时3D艺术最佳实践-纹理技术解读

纹理贴图获取2D曲面图像并将其映射到3D多边形上。 本指南涵盖了几种纹理优化,可以帮助您的游戏运行得更流畅、看起来更好。 在本指南的最后,您可以检查您的知识。您将了解有关主题,包括纹理图谱
2023-08-02 06:12:17

实时3D艺术最佳实践-材料和着色器用户指南

材质和着色器决定3D对象在屏幕上的显示方式,了解它们做什么,以及如何优化它们。 本指南涵盖了多种不同的材质和着色器优化,可以帮助您的游戏 跑步效率更高,看起来更好。 本指南也以Unity学习课程
2023-08-02 06:11:58

3d光学轮廓仪

CHOTEST中图仪器SuperViewW13d光学轮廓仪由照明光源系统,光学成像系统,垂直扫描系统以及数据处理系统构成。它是以白光干涉技术为原理、结合精密Z向扫描模块、3D 建模算法等对器件表面
2023-07-25 09:51:20

投个 3D 冰壶,上班玩一玩 | 物理引擎

本篇文章将介绍如何使用物理引擎和图扑 3D 可视化技术来呈现冰壶运动的模拟。
2023-07-18 10:36:36280

3d光学影像测量仪

3d光学影像测量仪采用高精度光学成像技术计算机数字处理技术,能够快速、准确地获取三维物体表面形态信息,并进行精密的尺寸、角度等多项测量数据的分析和处理。在制造、建筑、医疗、航空航天等各个领域都有
2023-07-14 14:47:42

【机器视觉】欢创播报 | 英特尔发布Gaudi2争夺AI芯片市场

英特尔也强调,Gaudi 2芯片是专为训练大语言模型而构建,采用7纳米制程,有24个张量处理器核心。事实上,去年英特尔就已经在海外发布了Gaudi 2,此次在中国推出的是“中国特供版”。 在发布会现场,英特尔也直接将Gaudi2和英伟达的A100进行比较,其野心可见一斑。根据英特尔公布的数据,从计算机视觉
2023-07-13 11:21:38353

3d影像测量仪

3d影像测量仪采用高精度光学成像技术计算机数字处理技术,能够快速、准确地获取三维物体表面形态信息,并进行精密的尺寸、角度等多项测量数据的分析和处理。在制造、建筑、医疗、航空航天等各个领域都有
2023-07-10 11:30:38

WAIC 2023:英特尔技术之力推动边缘人工智能发展,打造数字化未来“芯”时代

近日,以“智联世界 生成未来”为主题的2023世界人工智能大会(WAIC 2023)拉开帷幕,英特尔公司高级首席AI工程师、网络与边缘事业部中国区首席技术官张宇博士在大会期间发表了“面向边缘计算的人
2023-07-08 14:15:02262

低成本3D扫描仪机械部分设计中。#3d打印 #3d扫描 #3d建模 #3d #fusion

3D扫描仪
学习电子知识发布于 2023-07-03 20:13:56

英特尔芯片中实现背面供电

英特尔表示,它是业内第一个在类似产品的测试芯片上实现背面供电的公司,实现了推动世界进入下一个计算时代所需的性能。PowerVia 将于 2024 年上半年在英特尔 20A 工艺节点上推出,正是英特尔业界领先的背面供电解决方案。它通过将电源路由移动到晶圆的背面,解决了面积缩放中日益严重的互连瓶颈问题。
2023-06-20 15:39:06326

一起云逛展,带你感受英特尔开源前沿技术的魅力!

      原文标题:一起云逛展,带你感受英特尔开源前沿技术的魅力! 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-06-17 10:20:02322

离量子计算机又进一步!英特尔发布全新硅自旋量子比特芯片Tunnel Falls

研发的最先进的硅自旋量子比特芯片,利用了英特尔数十年来积累的晶体管设计和制造能力。 在英特尔的晶圆厂里,Tunnel Falls是在300毫米的硅晶圆上生产的,利用了英特尔领先的晶体管工业化制造能力,如极紫外光刻技术(EUV),以及栅极和接触层加工技术。在硅自旋量子比特中,信息(0/1)被编码
2023-06-17 10:15:03416

英特尔发布硅自旋量子比特芯片,采用300毫米的硅晶圆

 Tunnel Falls量子芯片是在英特尔的晶圆厂进行制造的,使用的是300毫米的硅晶圆。
2023-06-16 15:30:141146

构建芯片节点的新方法

在多年努力兑现其芯片制造承诺后,英特尔近日发布的新闻稿称,它将在超大规模集成电路研讨会(VLSI Symposium)上发表两篇论文,详细介绍一种构建芯片节点的新方法,该方法将使处理器更高效。该技术
2023-06-16 10:05:32383

浅谈400层以上堆叠3D NAND的技术

3D NAND闪存是一种把内存颗粒堆叠在一起解决2D或平面NAND闪存限制的技术。这种技术垂直堆叠了多层数据存储单元,具备卓越的精度,可支持在更小的空间内,容纳更高的存储容量,从而有效节约成本、降低能耗,以及大幅度地提升性能。
2023-06-15 09:37:561727

英特尔要投资Arm?

英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 推动公司重回半导体行业巅峰的努力的一个关键部分是一项向其他公司甚至竞争对手开放其工厂的计划。如果他要在外包生产方面成功地与台积电竞争,英特尔就必须生产包含 Arm 广泛使用的技术芯片
2023-06-14 14:28:34309

3D超景深共聚焦显微镜

在材料生产检测领域中,共聚焦显微镜在陶瓷、金属、半导体、芯片等材料科学及生产检测领域中也具有广泛的应用。它以共聚焦技术为原理、结合精密Z向扫描模块、3D 建模算法等对器件表面进行非接触式扫描并建立
2023-06-14 14:18:32

英特尔与AMD的前30年竞争

在 1971 年 11 月,英特尔推出了第一款商用微处理器——英特尔 4004。这款 4 位芯片取代了之前的六款芯片。4004 最初是为计算器制造的,它设定了逻辑微处理器的基本原则:获取指令、执行它们,然后存储结果。
2023-06-12 16:29:57487

英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

英特尔宣布在业内率先在产品级测试芯片上实现背面供电(backside power delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的背面供电 解决方案,PowerVia
2023-06-09 20:10:03193

英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的背面供电解决方案,PowerVia将于2024年上半年在Intel 20A制程节点上推出。通过将电源线移至晶圆背面,PowerVia解决了芯片单位面积微缩中日益严重的互连瓶颈问题。 英特尔技术开发副总裁Ben Sell表示
2023-06-06 16:22:00314

3d打印机已经满足不了我了 #车床 #铣床 #3d打印 #物联网 #3d

3D打印机3D打印
学习电子知识发布于 2023-05-28 20:53:32

英特尔下一代Max系列GPU芯片曝光,能否挑战英伟达?

电子发烧友网报道(文/吴子鹏)日前,英特尔在德国汉堡举行的高性能计算展上,披露了公司未来AI算力战略部署的最新细节,其中包括业界最关心的下一代Max系列GPU芯片——Falcon Shores
2023-05-25 01:13:002446

今日看点丨英特尔披露自研AI芯片最新进展;小鹏 G6 首车下线,基于扶摇架构的首款车型

1. 英特尔披露自研AI 芯片最新进展   当地时间周一,在德国汉堡举行的高性能计算展上,正在经历战略转型期的英特尔披露了公司未来AI算力战略部署的最新细节。英特尔表示,公司已经接近完成向美国
2023-05-23 10:34:47903

有一台通过USB远程控制的3D打印机,有人构建了通过WiFi控制的USB开关吗?

我有一台可以通过 USB 远程控制的 3D 打印机,有人构建了通过 WiFi 控制的 USB 开关吗?我希望能够将 2 个 USB 连接(来自不同的计算机)切换到 3D 控制器板。我想我可以做切换
2023-05-22 06:09:01

未来的晶体管会是什么样?

在比利时安特卫普举行的ITF World 2023上,英特尔技术开发总经理Ann Kelleher概述了英特尔在几个关键领域的最新进展,最有趣的是英特尔将在未来采用堆叠CFET晶体管。
2023-05-20 10:01:14424

芯片行业,何时走出至暗时刻?

按计划推进中 基辛格进一步强调,英特尔将扩展IFS代工客户群,通过先进封装技术、Intel 16、Intel 3和Intel 18A工艺,在2023年实现更多的产品迭代。 但英特尔代工业务还存在诸多
2023-05-06 18:31:29

英特尔将淡出比特币挖矿业务,停产Blockscale芯片

英特尔在一年前声势浩荡地宣布进军比特币挖矿领域,推出 Blockscale 1000 系列 ASIC 芯片,如今一年过去,英特尔宣布淡出这项业务。
2023-04-19 15:15:121875

英特尔和ARM合作 基于英特尔18A工艺进行设计技术协同优化

英特尔和Arm达成了一项合作协议,英特尔代工服务(Intel Foundry Services)和Arm将会进行设计技术协同优化,这意味着让芯片设计者能够基于英特尔18A制程打造低功耗的SoC
2023-04-19 14:31:23913

3d工业共聚焦显微镜

以共聚焦技术为原理的共聚焦显微镜,是用于对各种精密器件及材料表面进行微纳米级测量的检测仪器。 中图仪器VT6000系列3d工业共聚焦显微镜基于共聚焦显微技术,结合精密Z向扫描模块、3D
2023-04-19 10:14:05

全新适配鸿蒙生态,Cocos引擎助力3D应用开发

自主知识产权的行业主流3D引擎,近十年服务了全球160万开发者。 本次,Cocos带来了全新适配API 9的3D引擎的Cocos Creator,开发者可快速构建并发布鸿蒙生态的3D和2D应用,抢占蓝海生
2023-04-14 11:37:18

全新适配鸿蒙生态,Cocos引擎助力3D应用开发

的行业主流3D引擎,近十年服务了全球160万开发者。本次,Cocos带来了全新适配API 9的3D引擎的Cocos Creator,开发者可快速构建并发布鸿蒙生态的3D和2D应用,抢占蓝海生态红利。以下
2023-04-14 09:25:14

芯片合封的技术有哪些

3D堆叠技术、2D堆叠技术芯片级封装等。其中,3D堆叠技术是指在芯片或结构的 Z 轴方向上形成三维集成、信号连接以及晶圆级、芯片级和硅盖封装等功能,以实现更高的性能和更小的尺寸。2D堆叠技术是指在芯片或结构的 X 轴和 Y 轴方
2023-04-12 10:14:251008

求分享S32K3x4EVB-Q172 3D模型.step格式

我想索取S32K3X4EVB-Q172开发板的3D模型。我已经下载了硬件设计文件,但没有包含 3D 模型。你能给我一份 .step 格式的吗?
2023-03-24 07:12:57

已全部加载完成