电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>英特尔EMIB技术让异构封装互连更简练、更经济、更灵活

英特尔EMIB技术让异构封装互连更简练、更经济、更灵活

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

英特尔锐炫A系列显卡为客户提供了强大的性能和灵活

在当今快速发展的边缘计算和人工智能领域,英特尔凭借其创新的软硬件解决方案,为客户提供了强大的性能和灵活性。其中,推出的英特尔锐炫 A 系列显卡备受关注。
2024-03-22 15:17:1341

Chiplet&互联要闻分享 「奇说芯语 Kiwi talks」

Direct 3D Intel EMIB 3.5D 值得一提的是,在封装方面,英特尔表示将使用其他工艺节点来封装 SRAM 和 I/O,因为它们在较新的工艺上扩展性不佳。 若18A工艺可以按时交付,与 2024 年第一季度
2024-03-14 18:57:42563

Cadence与Intel代工厂携手革新封装技术,共推异构集成多芯粒架构发展

近日,业界领先的电子设计自动化解决方案提供商Cadence宣布与Intel代工厂达成重要合作,共同开发并验证了一项集成的先进封装流程。这一流程将利用嵌入式多晶粒互连桥接(EMIB技术,有效应对异构
2024-03-14 11:33:28320

Cadence与Intel代工厂合作通过EMIB封装技术实现异构集成

Cadence 与 Intel 代工厂合作开发并验证了一项集成的先进封装流程。该流程能利用嵌入式多晶粒互连桥接(EMIB技术来应对异构集成多芯粒架构不断增长的复杂性。
2024-03-11 11:48:05209

Ansys和英特尔代工合作开发多物理场签核解决方案

Ansys携手英特尔代工,共同打造2.5D芯片先进封装技术的多物理场签核解决方案。此次合作,将借助Ansys的高精度仿真技术,为英特尔的创新型2.5D芯片提供强大支持,该芯片采用EMIB技术实现芯片间的灵活互连,摒弃了传统的硅通孔(TSV)方式。
2024-03-11 11:24:19244

一文解析异构集成技术中的封装天线

为适应异构集成技术的应用背景,封装天线的实现技术也应有所变化,利用封装工艺的优点以实现更佳的性能。
2024-02-29 11:11:30157

英特尔:2025年全球AIPC将超1亿台占比20%

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-29 09:15:26

英特尔1nm投产时间曝光!领先于台积电

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-28 16:28:32

Intel NUC专业机箱元件

Intel NUC专业机箱元件英特尔® NUC专业机箱元件是模块化金属机箱,设计用于容纳和运行英特尔NUC计算元器件。该机壳产品有两种设计选择:用于大多数协作环境的基础版本和用于需要更多I/O的视频
2024-02-27 11:55:30

英特尔首推面向AI时代的系统级代工

、韧性和可持续性方面均处于领先地位。 •英特尔代工宣布最新制程路线图,包括Intel 14A制程技术、专业节点的演化版本,及全新的英特尔代工先进系统封装及测试(Intel Foundry
2024-02-26 15:41:45146

英特尔拿下微软芯片代工订单

英特尔近日在美国圣荷西举行的首次晶圆代工活动中公布了其雄心勃勃的制程延伸蓝图。该公司首席执行官在会上表示,通过采用Intel 18A先进制程技术英特尔期望在2025年之前重新夺回制程技术的领先地位
2024-02-26 10:01:22204

英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-26 08:58:21

英特尔首推面向AI时代的系统级代工—英特尔代工

英特尔首推面向AI时代的系统级代工——英特尔代工(Intel Foundry),在技术、韧性和可持续性方面均处于领先地位。
2024-02-25 10:38:39221

英特尔微软150亿美元交易揭晓:技术驱动

英特尔确认,将为微软打造专属定制芯片,并涉及晶圆和高级封装项目;关于这些芯片的具体应用却未予公开,仅确认将运用18A工艺制造。
2024-02-23 14:13:23639

微软将使用英特尔的18A技术生产芯片

微软将使用英特尔的18A技术生产芯片 据外媒报道微软公司计划使用英特尔的18A制造技术生产自研芯片。但是目前没有确切的消息表明微软将生产什么芯片,但是业界多估计是人工智能加速器。
2024-02-22 17:35:11356

Sarcina Technology加入英特尔联盟

来源:Silicon Semiconductor 《半导体芯科技》编译 Sarcina Technology是一家致力于提供领先的特定应用高级封装服务(ASAP)的公司,加入了英特尔代工服务(IFS
2024-02-05 12:05:33172

英伟达采用英特尔封装技术提升产能

台积电仍将坚守主打地位,为英伟达供应高达90%的尖端封装产能。但推测中提到,自2024年第二季度起,英伟达有意将英特尔的产能纳入多款产品的制作周期内。
2024-02-01 15:27:23209

英特尔实现3D先进封装技术的大规模量产

近日,英特尔宣布已经实现了基于业界领先的半导体封装解决方案的大规模生产,其中包括其突破性的3D封装技术Foveros。这项技术为多种芯片的组合提供了前所未有的灵活选择,为功耗、性能和成本优化带来了显著的提升。
2024-02-01 14:40:41287

英特尔登顶2023年全球半导体榜单之首

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-01 11:55:16

英伟达吸纳英特尔加入供应链,缓解先进封装产能紧张

据it之家引用的报道称,预计自今年2月份起,英特尔将会正式成为英伟达供应链成员,每月能够提供5000片晶圆的产能。英特尔已表达愿意参与英伟达的供应链项目,以提升其封装能力。
2024-01-31 13:55:58179

英特尔实现大规模生产3D封装技术Foveros

英特尔最近宣布,他们已经实现了基于业界领先的半导体封装解决方案的大规模生产,其中包括具有划时代意义的3D封装技术Foveros。
2024-01-26 16:53:24911

英特尔量产3D Foveros封装技术

英特尔封装技术方面取得了重大突破,并已经开始大规模生产基于3D Foveros技术的产品。这项技术使得英特尔能够在单个封装中整合多个小芯片(Chiplets),从而提高了芯片的性能、尺寸和设计灵活性。
2024-01-26 16:04:50231

英特尔3D封装技术实现大规模量产

近日,英特尔(Intel)宣布,其已成功实现基于业界领先的半导体封装解决方案的大规模生产,其中包括突破性的3D封装技术Foveros。这一技术在新墨西哥州Fab 9工厂中完成升级并投产。
2024-01-26 16:03:15238

英特尔3D封装工艺进入量产,集成万亿晶体管

众所周知,整个半导体领域正迈进一个同时整合多个‘芯粒’(Chiplets,也被称为‘小芯片’)在同一封装中的多元时代。基于此,英特尔的 Foveros 及新型 EMIB(嵌入式多芯片互连桥接)等高级封装解决方案被誉为能将一万亿个晶体管融于单一封装之内
2024-01-26 09:44:28188

英特尔实现先进半导体封装技术芯片的大规模生产

当前,由于整个半导体产业步入将多个‘芯粒’(Chiplets)整合于单一封装的新世代,芬柯斯(Foveros)与 EMIB(嵌入式多芯片互联桥接)等英特尔先进封装技术应运而生。
2024-01-25 14:47:14303

英特尔实现3D先进封装技术的大规模量产

英特尔宣布已实现基于业界领先的半导体封装解决方案的大规模生产,其中包括英特尔突破性的3D封装技术Foveros,该技术为多种芯片的组合提供了灵活的选择,带来更佳的功耗、性能和成本优化。 这一技术
2024-01-25 14:24:34118

英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

处理器英特尔
looger123发布于 2024-01-10 17:44:38

英特尔2月21日发布新工艺路线图,或将引入RibbonFET环栅晶体管 

英特尔对此次活动的定位如下: “诚挚邀请您倾听英特尔高层精英、技术专才以及各方合作伙伴深度解读我们的战略布局、卓越工艺技术、尖端封装技巧与生态建设。旨在让您深入理解英特尔的代工厂服务如何助力贵司充分利用英特尔强大的弹性供应实力构筑芯片设计。”
2024-01-05 09:40:29368

英特尔的2023:以强大执行力推进产品、技术创新

创新,取得了多项突破,并以强大的执行力稳步按照既定路线图发布新产品,支持“芯经济”的蓬勃发展。 具体而言,2023年英特尔技术和产品方面主要取得了以下进展: 12月 英特尔推出新一代强大产品,加速推动AI在云边端的工作负载中
2023-12-29 14:33:06197

英特尔:2030年前实现单个封装内集成1万亿个晶体管

12月9日,英特尔在IEDM 2023(2023 IEEE 国际电子器件会议)上展示了使用背面电源触点将晶体管缩小到1纳米及以上范围的关键技术英特尔表示将在2030年前实现在单个封装内集成1万亿个晶体管。
2023-12-28 13:58:43258

英特尔专家为您揭秘第五代英特尔® 至强® 可扩展处理器如何为AI加速

% 1 ,AI 推理性能提升42% 2 。 这一系列性能提升的背后,存在着怎样的创新与突破?第五代英特尔 至强 可扩展处理器为什么要强调为AI加速?它又是如何做到为AI加速的呢? 从异构计算到AIGC、从AI算力到通用算力,从内置加速器到性能、能效的不同需求
2023-12-23 12:20:02407

AI 无处不在,英特尔酷睿Ultra 和第五代英特尔至强可扩展处理器正式发布

今天,英特尔在北京举办以“AI无处不在,创芯无所不及”为主题的2023英特尔新品发布会暨AI 技术创新派对,携手ISV、OEM、CSP产业伙伴在内的AI生态,共同见证了英特尔AI战略的发布,以及
2023-12-16 16:05:03354

平台赋能,算力共建,智贯东西 “2023 英特尔算力大会暨东数西算大会”成功举办

链交流合作平台,促进政企产学研各界交流。会上,与会者从多角度分享了“东数西算”在规划与建设、应用与生态等方面的探索与实践。英特尔也分享了其响应“东数西算”战略的规划与布局,对“东数西算”战略和经济影响的研究,以及
2023-12-08 19:15:02277

英特尔:玻璃基板将推动算力提升

的应用的算力需求。        虽然玻璃基板对整个半导体行业而言并不陌生,但凭借庞大的制造规模和优秀的技术人才,英特尔将其提升到了一个新的水平。近日,英特尔封装测试技术开发(Assembly Test Technology Development)部门介绍了英特尔为何投入探
2023-12-06 09:31:42210

智能工厂如何变得更灵活?只需用上这两类芯片!

过去几年,COVID-19的肆虐让全球制造业遭受重创。据调查数据显示,仅2022一年,全球因工厂意外停工造成的经济损失高达1.5万亿美元。 未来的智能工厂需要更高的灵活性和更强的抗风险能力,才能尽量
2023-12-05 16:13:036697

2023 英特尔On技术创新大会中国站,相约12月19日!

转型行动方案 英特尔宋继强:智慧教育的加速密码——要算力井喷,更要产学融合 2023中关村论坛系列活动——英特尔智能医疗健康创新合作论坛在京成功举办 原文标题:2023 英特尔On技术创新大会中国站,相约12月19日! 文章出处:【微信公众号:
2023-12-01 20:40:02402

异构集成时代半导体封装技术的价值

异构集成时代半导体封装技术的价值
2023-11-28 16:14:14223

英特尔发布气候转型行动方案

近日,英特尔正式发布气候转型行动方案,详细介绍了英特尔减少碳足迹的路径。与本次方案同时发布的,还有来自英特尔CEO帕特·基辛格的一封信,信中详细介绍了这份报告,并概述了英特尔对推进可持续的商业实践
2023-11-24 20:00:02246

助力全球硬件创新硬科技创业简单,华秋硬创大赛全国三强诞生

与帮助。本次总决赛是第25届高交会重要活动之一,聚焦高新技术,助力全球科技创新。 01华秋硬创初心——硬科技创业简单 为什么要做硬创大赛?华秋副总经理曾海银在大赛整体回顾这么说道,“ 硬科技创业
2023-11-24 17:02:41

助力全球硬件创新,硬科技创业简单,华秋硬创大赛三强诞生

与帮助。本次总决赛是第25届高交会重要活动之一,聚焦高新技术,助力全球科技创新。 01华秋硬创初心——硬科技创业简单 为什么要做硬创大赛?华秋副总经理曾海银在大赛整体回顾这么说道,“ 硬科技创业
2023-11-24 16:59:25

互连在先进封装中的重要性

互连技术封装的关键和必要部分。芯片通过封装互连,以接收功率、交换信号并最终进行操作。由于半导体产品的速度、密度和功能随互连方式的不同而不同,互连方法也在不断变化和发展。
2023-11-23 15:13:58180

英特尔宣布,剥离可插拔光模块业务

资料显示,英特尔多年来一直在销售基于硅光子的光收发器。在 2019 年英特尔互连日上,也就是英特尔首次亲自参加 CXL 的同一活动中,该公司展示了其 100Gbps 甚至 400Gbps 硅光子光收发器。
2023-11-20 16:29:40336

英特尔亮相进博会,展示数实融合“芯”成果

技术和创新解决方案,让数字化深入千行百业。英特尔还出席了11月5日举办的第六届虹桥国际经济论坛,并将在ESG与可持续发展领导力论坛发布《2022-2023英特尔中国企业社会责任报告》,分享“数字化×绿色化”的洞察。此外,英特尔与复旦
2023-11-11 15:15:02197

英特尔CEO基辛格:英特尔有三大败战!

另外,机型还对英特尔在2010年取消Larrabee的计划表示不满,因为Larrabee原本是一款早期的通用GPU。然而,就基辛格上一次退出英特尔公司后,该计划就被砍掉了。
2023-11-08 16:14:59306

为什么LED会比白炽灯节能?

为什么LED会比白炽灯节能
2023-10-30 06:14:25

#高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

高通英特尔苹果
深圳市浮思特科技有限公司发布于 2023-10-27 16:46:07

下一代英特尔玻璃基板封装转型概述

英特尔还计划引入玻璃通孔技术(TGV),将类似于硅通孔的技术应用于玻璃基板,还推出了Foveros Direct,这是一种具有直接铜对铜键合功能的高级封装技术
2023-10-08 15:36:43742

探访英特尔CPU封装工厂内部

英特尔和台积电正在竞争提供最先进封装技术,而英特尔的马来西亚设施在其努力扩大Meteor Lake生产方面发挥着关键作用,这是一系列采用突破性生产技术的消费者CPU。到目前为止,这些设施一直被保密,而这个面纱在我们的参观中笼罩得很浓。
2023-09-28 17:22:202364

英特尔先进封装的玻璃基板技术解析

有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
2023-09-28 11:29:121105

2023英特尔on技术创新大会:英特尔研究院展示多项技术“魔法”

英国著名科幻小说家阿瑟·克拉克(《2001:太空漫游》)有言:“任何先进的技术,初看都与魔法无异。”在英特尔这家巨大的半导体公司的内部,有一批人正在专注于此,即用新颖的方法,在广泛的前沿研究领域
2023-09-26 17:25:58268

英特尔CEO帕特·基辛格阐述“芯经济”概念,AI正在推动其蓬勃发展

“AI正在催生全球增长的新时代,在新时代中,算力起着更为重要的作用,让所有人迎来更美好的未来”,9月19日,在2023英特尔on技术创新大会的主题演讲中,英特尔公司首席执行官帕特·基辛格(Pat
2023-09-26 17:24:24691

英特尔研究院副总裁、英特尔中国研究院院长宋继强:英特尔技术为全行业带来卓越贡献

英特尔作为全球资深芯片厂商,为广大消费者所认知的是其高性能的PC、服务器、移动端处理器,但是忽略了作为行业众多协议标准的制定者和领导者,其技术底蕴是非常深厚的。近日,我们收到了来自英特尔研究院对于
2023-09-26 14:06:41289

华秋供应链,硬科技创业简单

华秋硬件创新创客大赛从“ 硬科技创业简单 ”的初心出发,伴创业者一路同行。通过电子发烧友网这一硬科技的工程师技术社区,能够更早的感受到技术浪潮的发展,更快的触达到这些硬件开发者,迅速的找到这些
2023-09-26 10:24:52

一图读懂英特尔云原生开源技术

作为KubeCon China 2023 大会的钻石赞助商,9月26日-28日,英特尔在现场会有一个大的技术展示厅,其中包含10个现场展示,涵盖云原生基础设施,安全,人工智能以及可持续计算等。 欢迎
2023-09-23 10:10:08345

台积电、英特尔携手推出全球首款小芯片互联

技)UCIe IP的两个小芯片,透过英特尔EMIB先进封装进行连接。 随着科技不断进步,芯片技术日新月异,英特尔在创新日上向全球展示了一项令人瞩目的突破。这项突破是世界上第一个采用UCIe连接的Chiplet处理器。该处理器汇聚了英特尔和TSMC等尖端技术,标志着芯片领域的一项里程碑。 在
2023-09-22 18:17:02451

英特尔展示先进玻璃基板封装工艺,目标实现单一封装万亿晶体管

英特尔介绍称,与目前主流的有机基板相比,玻璃具有独特的特性,例如超低平坦度、更好的热稳定性和机械稳定性,从而使基板中的互连密度更高。这些优势将使芯片架构师能够为人工智能(AI)等数据密集型工作负载创建高密度、高性能芯片封装
2023-09-20 17:45:43794

满足更高算力需求,英特尔率先推出用于下一代先进封装的玻璃基板

单个封装内的晶体管数量不断增加,继续推动摩尔定律,满足以数据为中心的应用的算力需求。 英特尔公司高级副总裁兼组装与测试技术开发总经理 Babak Sabi 表示;“经过十年的研究,英特尔已经领先业界实现了用于先进封装的玻璃
2023-09-20 17:08:04209

2023英特尔on技术创新大会:助力开发者,让AI无处不在

AI促进了“芯经济”的崛起,一个由芯片和软件推动的全球增长新时代。 新闻亮点: · 英特尔明确表示其“四年五个制程节点”计划正在稳步推进当中,并展示了其首个基于通用芯粒高速互连开放规范(UCIe
2023-09-20 16:46:25222

英特尔突破下一代半导体封装玻璃基板,应用在大尺寸封装领域

日前有消息称,英特尔公司最近取得突破性的技术创新,推出了针对下一代半导体封装的玻璃基板。 据悉,这种玻璃基板与传统的有机基板相比,具有明显的性能优势。它表现出更出色的热性能、物理性能和光学性能,使得
2023-09-20 10:39:14541

英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步

当地时间9月18日,芯片制造商英特尔公司宣布,在用于下一代先进封装的玻璃基板开发方面取得重大突破。 在本周于美国加利福尼亚州圣何塞举行的英特尔2023年创新大会之前,英特尔宣布了这一“程碑式的成就
2023-09-20 08:46:59521

英特尔展示下一代玻璃基板互连密度提高10倍

行业芯事行业资讯
电子发烧友网官方发布于 2023-09-19 10:54:21

英特尔推新型封装材料,满足大模型时代应用

根据英特尔的正式介绍,玻璃与现在的有机基板相比,具有非常低的平面图、更好的热性能和机械稳定性等独特的性质,从而在基板上实现更高的相互连接密度。这将使芯片设计者能够制作高密度高性能芯片包,以满足人工智能等数据集约型工作量。
2023-09-19 09:46:33228

与腾讯全方位合作,英特尔做了这些

一起,一个猛子扎进乐队的夏天 2023服贸会丨一起云逛展,看英特尔如何助力数实融合 既盖“四合院”,也建“摩天楼”,英特尔先进封装技术解析 原文标题:与腾讯全方位合作,英特尔做了这些 文章出处:【微信公众号:英特尔中国】欢迎添加关注!
2023-09-15 19:35:07336

从基板到硅桥:EMIB如何提升集成电路的性能

——嵌入式多互连桥接(EMIB,Embedded Multi-die Interconnect Bridge)技术应运而生。
2023-09-11 09:27:101397

2023服贸会丨一起云逛展,看英特尔如何助力数实融合

英特尔公司,英特尔英特尔logo及其它英特尔标识,是英特尔公司或其分支机构的商标。文中涉及的其它名称及品牌属于各自所有者资产。 原文标题:2023服贸会丨一起云逛展,看英特尔如何助力数实融合 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-09-09 13:15:02386

英特尔Agilex FPGA的优势和特性

英特尔推出全新英特尔 Agilex 7 FPGA,以支持在英特尔 DevCloud 中运行 oneAPI 基础工具套件(基础套件)工作负载,使您能够利用基于全新英特尔 FPGA 的高性能与低功耗计算解决方案。
2023-09-08 09:09:53605

英特尔先进封装全球布局 在马来西亚将有六座工厂

  先进封装则被视为延续摩尔定律寿命的重要技术英特尔(Intel)最新电脑处理器Meteor Lake将在9月发布,采用英特尔最先进3D IC封装技术「Foveros」,透过堆叠的封装方式,增进
2023-08-28 11:08:141860

英特尔开始加码封装领域

,将其最先进的3D Foveros封装产能扩增至目前的四倍,同时还向客户开放其先进封装解决方案,使其能够灵活选择。 外界普遍预测,随着英特尔整合了先进制程和先进封装的优势,其在晶圆代工领域将会变得更具竞争力。这将进一步与台积电、三星等
2023-08-24 15:57:32245

专用R5F+双核A53,异构多核AM64x工控“实时”

Cortex-R5F + Cortex-A53异构多核, 给工控带来何种意义? 创龙科技SOM-TL64x工业核心板搭载TI AM64x最新工业处理器,因其CortexR5F + 双核
2023-08-23 15:34:34

英特尔锐炫显卡DX11性能更新,并推出全新英特尔PresentMon Beta

英特尔锐炫正式推出DirectX 11驱动更新,为PC游戏玩家带来更强劲性能,同时发布全新工具帮助发烧友和游戏社区更好地衡量和评估系统性能。准备好一起进入极客世界吧! 去年英特尔锐炫台式机产品发布
2023-08-19 11:10:01397

几种Chiplet技术对比?为何高算力领域没有真正的Chiplet?

如果需要高算力密度的Chiplet设计,就必须用2.5D或3D封装,尽管英特尔EMIB价格远低于台积电的CoWoS,但除了英特尔自己,没有第三方客户使用,主要原因是英特尔做晶圆代工刚起步,经验不够
2023-08-18 11:45:561601

OpenVINO工具套件是否可以商业化使用?

参阅 英特尔® OpenVINO™分销许可第 2.1 节(2021 年 5 月版本)。 无法了解英特尔® 发行版 OpenVINO™ 工具套件是否可以商业化使用。
2023-08-15 08:19:20

安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

使用OpenVINO trade 2021版运行Face_recognition_demo时报错怎么解决?

importing ie_api 推断 face_recognition_demo 与 OpenVINO™ 2021 版本和 英特尔® 神经电脑棒 2 (英特尔® NCS2) 插件丢点错
2023-08-15 06:20:01

英特尔媒体加速器参考软件Linux版用户指南

英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器参考软件。
2023-08-04 06:34:54

台积电先进芯片封装专利排名第一,超越三星英特尔

据lexisnexis介绍,台积电拥有2946项尖端包装专利,这是其他公司引用的专利数量中最高的。专利件数和质量排在第二位的三星电子为2404件。英特尔在先进封装产品有价证券组合中拥有1434项专利,位居第三。
2023-08-02 10:43:30965

英特尔宣布放弃NUC业务!

事实上,英特尔的 NUC 最初在 2012 年设计并对外销售,它是一款紧凑且高度集成的计算设备,可以提供强大的性能和灵活的部署选择。与此同时,NUC 通常采用英特尔的处理器和其他内部组件,如图形处理单元(GPU)、内存、存储和网络连接。
2023-07-13 15:28:22475

电车时代,汽车芯片需要的另一种先进封装

提及先进封装,台积电的CoWoS和InFO、三星的X-Cube以及英特尔EMIB等晶圆级封装是如今最为人所熟知的方案。在Chiplet热潮的带动下,这些晶圆级封装技术扶持着逼近极限的摩尔定律继续向前,巨大的市场机遇面前,传统的封测厂商也开始钻研晶圆级技术,意图分一杯羹。
2023-07-11 16:19:09443

英特尔先进封装:彻底改变芯片封装技术

英特尔通过使用玻璃基板作为更有效的替代品,同时降低成本。
2023-07-03 09:58:22657

算力时代,进击的先进封装

在异质异构的世界里,chiplet是“生产关系”,是决定如何拆分及组合芯粒的方式与规则;先进封装技术是“生产力”,通过堆叠、拼接等方法实现不同芯粒的互连。先进封装技术已成为实现异质异构的重要前提。
2023-06-26 17:14:57600

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫™ Pro A系列专业级图形显卡新增两款产品——英特尔
2023-06-21 13:10:18421

英特尔德国厂将获100亿欧元补贴

德国政府内部因英特尔的补贴要求产生分歧,德国总理奥拉夫·肖尔茨和德国经济部长罗伯特•哈贝克愿意提供更多财政支持。据报道,有知情人士透露,目前德国已表示愿意提供大约100亿欧元资金支持,但前提是英特尔必须大幅增加这家芯片工厂的总体投资。
2023-06-20 15:41:49243

英特尔在芯片中实现背面供电

英特尔表示,它是业内第一个在类似产品的测试芯片上实现背面供电的公司,实现了推动世界进入下一个计算时代所需的性能。PowerVia 将于 2024 年上半年在英特尔 20A 工艺节点上推出,正是英特尔业界领先的背面供电解决方案。它通过将电源路由移动到晶圆的背面,解决了面积缩放中日益严重的互连瓶颈问题。
2023-06-20 15:39:06326

一起云逛展,带你感受英特尔开源前沿技术的魅力!

      原文标题:一起云逛展,带你感受英特尔开源前沿技术的魅力! 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-06-17 10:20:02322

专用M4F+四核A53,异构多核AM62x工业控制“实时、安全”

Cortex-M4F + Cortex-A53异构多核给工业控制带来何种意义?创龙科技SOM-TL62x工业核心板搭载TI AM62x最新处理器,因其Cortex-M4F + Cortex-A53
2023-06-15 17:18:17

英特尔要投资Arm?

英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 推动公司重回半导体行业巅峰的努力的一个关键部分是一项向其他公司甚至竞争对手开放其工厂的计划。如果他要在外包生产方面成功地与台积电竞争,英特尔就必须生产包含 Arm 广泛使用的技术的芯片。
2023-06-14 14:28:34309

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫 Pro A系列专业级图形显卡新增两款产品——英特尔锐炫 Pro
2023-06-09 20:30:02445

英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

将于2024年上半年在Intel 20A制程节点上推出。通过将电源线移至晶圆背面,PowerVia解决了芯片单位面积微缩中日益严重的互连瓶颈问题。 “ 英特尔正在积极推进‘四年五个制程节点’计划,并致力于在2030年实现在单个封装中集成一万亿个晶体管,PowerVia对这两大目标而言都是重要里程
2023-06-09 20:10:03193

英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的背面供电解决方案,PowerVia将于2024年上半年在Intel 20A制程节点上推出。通过将电源线移至晶圆背面,PowerVia解决了芯片单位面积微缩中日益严重的互连瓶颈问题。 英特尔技术开发副总裁Ben Sell表示
2023-06-06 16:22:00314

英特尔如何玩转Chiplet?

英特尔最近的 DCAI 网络研讨会上,公司执行副总裁 Sandra Rivera 透露了英特尔第五代至强可扩展处理器 Emerald Rapids 的外观。
2023-06-02 16:54:21395

锐意进取,炫力出彩!英特尔持续耕耘锐炫显卡

作为高性能显卡领域的实力新玩家,英特尔锐炫显卡自发布以来便受到了众多关注。5月24日,英特尔在上海举办了以“锐炫新势力,释放芯力量”为主题的技术分享活动。活动上,英特尔公司中国区技术部总经理
2023-05-30 09:58:53257

英特尔放弃同时封装 CPU、GPU、内存计划

英特尔将 CPU、GPU 和内存芯片拼接在一个称为 XPU 的单一封装上的宏伟计划已经暂缓。英特尔超级计算集团副总裁杰夫·麦克维 (Jeff McVeigh) 透露,该公司的 Falcon Shores 平台不仅会迟到,而且不会是一个 XPU。
2023-05-26 15:26:54798

5G和无线连接:让智慧工厂更灵活

在无线网络技术进步的推动下,工厂的固定串行生产线模式正迅速演变为更灵活的工厂环境。 今天的消费者推动了这一制造业转型趋势:他们希望自己的产品提供更多选择,要求工厂摆脱“一刀切”的制造模式,转变为更灵活
2023-05-11 20:16:42355

基于英特尔 x86 和 IOP 的系统互连软件 API

基于英特尔 x86 和 IOP 的系统互连软件 API
2023-04-26 20:30:070

英特尔和ARM合作 基于英特尔18A工艺进行设计技术协同优化

英特尔和Arm达成了一项合作协议,英特尔代工服务(Intel Foundry Services)和Arm将会进行设计技术协同优化,这意味着让芯片设计者能够基于英特尔18A制程打造低功耗的SoC
2023-04-19 14:31:23913

英特尔落户海南三亚

来源:海南商务官微 日前,英特尔公司在海南三亚注册成立英特尔集成电路(海南)有限公司。2023年4月8日,英特尔三亚办公室开业仪式在三亚中央商务区成功举办,标志着英特尔海南业务启动运作。海南省商务厅
2023-04-11 17:44:38900

英特尔宋继强:面向半导体“万亿时代”,以全栈创新推动算力发展

日”上,英特尔研究院副总裁、英特尔中国研究院院长宋继强发表了题为“智·变 拓·界”的主题演讲,分享了英特尔中国研究院对数字化时代半导体行业技术创新的思考,及在诸多前沿技术领域的最新进展。 中国数字经济正在“量质齐升
2023-04-04 10:15:56332

一文详解封装互连技术

封装互连是指将芯片I/0端口通过金属引线,金属凸点等与封装载体相互连接,实现芯片的功能引出。封裝互连主要包括引线键合( Wire Bonding, WB)载带自动键合(Tape Automated Bonding,TAB)和倒裝焊 (Flip Chip Bonding)。
2023-04-03 15:12:202871

已全部加载完成