0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA技术驿站

文章:115 被阅读:31.7w 粉丝数:22 关注数:0 点赞数:2

广告

如何让同一层次的模块在布局时更紧凑一些

在时序分析时,我们常会碰到的一类现象是:关键路径上的逻辑单元过于分散,导致布线延迟过大,从而造成时序....
的头像 FPGA技术驿站 发表于 06-15 09:26 267次阅读
如何让同一层次的模块在布局时更紧凑一些

让同一层次的模块在布局时更紧凑一些的方法

在时序分析时,我们常会碰到的一类现象是:关键路径上的逻辑单元过于分散,导致布线延迟过大,从而造成时序....
的头像 FPGA技术驿站 发表于 06-15 09:23 900次阅读
让同一层次的模块在布局时更紧凑一些的方法

时钟抖动的几种类型

先来聊一聊什么是时钟抖动。时钟抖动实际上是相比于理想时钟的时钟边沿位置,实际时钟的时钟边沿的偏差,偏....
的头像 FPGA技术驿站 发表于 06-09 09:40 1274次阅读
时钟抖动的几种类型

时钟抖动会影响建立时间和保持时间违例吗?

首先,我们需要理解什么是时钟抖动。简而言之,时钟抖动(Jitter)反映的是时钟源在时钟边沿的不确定....
的头像 FPGA技术驿站 发表于 06-02 09:09 1120次阅读
时钟抖动会影响建立时间和保持时间违例吗?

跨时钟域电路设计总结

跨时钟域操作包括同步跨时钟域操作和异步跨时钟域操作。
的头像 FPGA技术驿站 发表于 05-18 09:18 464次阅读
跨时钟域电路设计总结

跨时钟域电路设计:多位宽数据通过FIFO跨时钟域

FIFO是实现多位宽数据的异步跨时钟域操作的常用方法,相比于握手方式,FIFO一方面允许发送端在每个....
的头像 FPGA技术驿站 发表于 05-11 14:01 1792次阅读
跨时钟域电路设计:多位宽数据通过FIFO跨时钟域

多位宽数据通过握手方式跨时钟域

对于多位宽数据,我们可以采用握手方式实现跨时钟域操作。该方式可直接使用xpm_cdc_handsha....
的头像 FPGA技术驿站 发表于 05-06 09:22 810次阅读
多位宽数据通过握手方式跨时钟域

跨时钟域电路设计:单周期脉冲信号如何跨时钟域

参数REG_OUTPUT用于确定是否对最终输出信号寄存;参数RST_USED用于确定是否使用复位信号....
的头像 FPGA技术驿站 发表于 04-20 09:38 1033次阅读

单位宽信号如何跨时钟域

单位宽(Single bit)信号即该信号的位宽为1,通常控制信号居多。对于此类信号,如需跨时钟域可....
的头像 FPGA技术驿站 发表于 04-13 09:11 966次阅读

FPGA设计中的反馈路径可以怎么优化呢?

在FPGA设计中,我们可能会碰到这样的路径,如下图所示。图中两个输入数据为64位,寄存一拍后给到二选....
的头像 FPGA技术驿站 发表于 03-24 15:56 1086次阅读

基于20nm工艺制程的FPGA—UltraScale介绍

UltraScale是基于20nm工艺制程的FPGA,而UltraScale+则是基于16nm工艺制....
的头像 FPGA技术驿站 发表于 03-09 14:12 4380次阅读

基于28nm工艺制程的7系列FPGA

7系列FPGA是基于28nm工艺制程。在7系列FPGA中,每个输入/输出区域(I/O Bank)包含....
的头像 FPGA技术驿站 发表于 03-03 09:46 1396次阅读

缩短Vivado编译时间之审视时序约束描述

在描述时序约束时,一个重要的原则是确保约束简洁高效。简洁高效意味着约束只针对指定的对象,即约束对应的....
的头像 FPGA技术驿站 发表于 02-23 09:03 901次阅读

三个对分析编译时间非常有效的Tcl脚本

同时最好将该脚本与待分析的dcp放置在同一目录下,这样在读取dcp时就只用提供当前工作目录即可。
的头像 FPGA技术驿站 发表于 02-10 15:00 1090次阅读
三个对分析编译时间非常有效的Tcl脚本

Vivado里如何手动调整编译顺序

通常情况下,一旦创建好Vivado工程,添加了相应的RTL文件,Vivado会自动找到设计的顶层文件....
的头像 FPGA技术驿站 发表于 01-06 09:27 2704次阅读

关于Vivado Non-project,我们应知道的一些问题

Vivado支持Project模式也支持Non-Project模式。两者既可以支持图形界面也支持Tc....
的头像 FPGA技术驿站 发表于 12-15 13:51 1155次阅读

如何管理约束文件?

约束文件是FPGA设计中不可或缺的源文件。那么如何管理好约束文件呢? 到底设置几个约束文件? 通常情....
的头像 FPGA技术驿站 发表于 12-08 13:48 923次阅读

理解Vitis HLS默认行为

相比于VivadoHLS,Vitis HLS更加智能化,这体现在Vitis HLS可以自动探测C/C....
的头像 FPGA技术驿站 发表于 11-24 11:42 1329次阅读

Vivado里如何使用模糊性的位置约束?

提到位置约束,我们会想到手工布局的方式,即画Pblock,将指定模块放入相应Pblock内,这既是面....
的头像 FPGA技术驿站 发表于 11-17 11:47 1452次阅读

如何理解HLS Block-level输入输出信号之间的时序关系

默认情况下,VitisHLS会对待综合的C函数使用ap_ctrl_hs接口,这其实是一种握手方式。在....
的头像 FPGA技术驿站 发表于 11-11 11:54 691次阅读

用HLS实现PID控制器

PID控制器(比例-积分-微分控制器),由比例单元(Proportional)、积分单元(Integ....
的头像 FPGA技术驿站 发表于 11-03 11:43 771次阅读

Xilinx推出了新一代功耗评估工具:PDM

PDM支持两种使用流程:手工流程和导入流程。手工流程要求工程师手动输入各种参数,如时钟频率、翻转率、....
的头像 FPGA技术驿站 发表于 10-27 13:34 2854次阅读

AIE支持哪两种类型的RTP

AIE Kernel有时需要由外部提供参数更新kernel行为,此时就要用到RTP(Run-Time....
的头像 FPGA技术驿站 发表于 07-21 14:29 824次阅读

Vivado Synthesis模块化的设计方法

全局综合(Global Synthesis)全局综合意味着整个设计在一个Synthesis Desi....
的头像 FPGA技术驿站 发表于 07-15 11:39 1538次阅读

Vivado Synthesis的各种流程

Vivado IPI (IP Integrator)提供了直观的模块化的设计方法。用户可以将Viva....
的头像 FPGA技术驿站 发表于 07-15 11:39 1388次阅读

Vivado 2022.1的新特性

Vivado 2022.1已正式发布,今天我们就来看看其中的一个新特性。
的头像 FPGA技术驿站 发表于 07-03 17:00 1851次阅读

关于datasheet的含义

设计分析时,我们除了查看资源利用率、时序指标、功耗等基本信息之外,有时也需要查看跟输入/输出管脚相关....
的头像 FPGA技术驿站 发表于 07-03 16:27 3864次阅读

如何通过get_parts获取芯片型号

在芯片选型时,我们常常需要查看芯片的资源情况,此时,就要用到选型手册。
的头像 FPGA技术驿站 发表于 07-01 17:15 1530次阅读

Routing Complexity是什么含义呢?

那么Routing Complexity是什么含义呢?Routing Complexity实际反映的....
的头像 FPGA技术驿站 发表于 06-02 15:00 636次阅读

UltraScale/UltraScale+的时钟资源

UltraScale和UltraScale+进一步增强了Clock root的概念,从芯片架构和Vi....
的头像 FPGA技术驿站 发表于 05-12 15:34 1427次阅读