0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

跨时钟域电路设计:单周期脉冲信号如何跨时钟域

FPGA技术驿站 来源:FPGA技术驿站 作者:FPGA技术驿站 2023-04-20 09:38 次阅读

对于脉冲信号时钟域,XPM_CDC提供了模块xpm_cdc_pulse,如下图所示。该模块有5个参数,其中参数DEST_SYNC_FF用于确定级联触发器的个数;INIT_SYNC_FF用于确定仿真时是否使用触发器初始值;

参数REG_OUTPUT用于确定是否对最终输出信号寄存;参数RST_USED用于确定是否使用复位信号;参数SIM_ASSERT_CHK则用于确定是否显示仿真信息。从输入/输出端口来看,源端时钟域的输入信号为src_pulse和src_rst;

目的端时钟域的输入信号为dest_rst,输出信号为dest_pulse(src_pulse跨时钟域后的结果)。

98890990-df1b-11ed-bfe3-dac502259ad0.png

这些参数对仿真以及实际电路都有较大影响。如果参数INIT_SYNC_FF为0,同时RST_USED也为0,这就表明了仿真时不能使用同步寄存器链路的初始值,同时也没有复位信号对其复位,这样仿真时就会出现未知态,如下图所示。

98d089dc-df1b-11ed-bfe3-dac502259ad0.png

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模块
    +关注

    关注

    7

    文章

    2484

    浏览量

    46530
  • 电路设计
    +关注

    关注

    6565

    文章

    2317

    浏览量

    195422
  • 触发器
    +关注

    关注

    14

    文章

    1677

    浏览量

    60404
  • 脉冲信号
    +关注

    关注

    6

    文章

    333

    浏览量

    36434
  • 时钟域
    +关注

    关注

    0

    文章

    49

    浏览量

    9455

原文标题:跨时钟域电路设计(4):单周期脉冲信号如何跨时钟域

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA设计中有多个时钟时如何处理?

    FPGA设计中有多个时钟时如何处理?时钟的基本设计方法是:(1)对于单个信号,使用双D触发
    发表于 02-24 15:47

    quartus仿真双口RAM 实现时钟通信

    双口RAM如何实现时钟通信啊?怎么在quartus ii仿真???
    发表于 05-02 21:51

    时钟时钟约束介绍

    解释了什么时候要用到FALSE PATH: 1.从逻辑上考虑,与电路正常工作不相关的那些路径,比如测试逻辑,静态或准静态逻辑。 2. 从时序上考虑,我们在综合时不需要分析的那些路径,比如跨越异步时钟
    发表于 07-03 11:59

    时钟为什么要双寄存器同步

    出现了题目中的时钟的同步问题?怎么办?十年不变的老难题。为了获取稳定可靠的异步时钟送来的信号
    发表于 08-20 11:32

    如何处理好FPGA设计中时钟问题?

    时钟处理的方法,这三种方法可以说是 FPGA 界最常用也最实用的方法,这三种方法包含了 bit 和多 bit 数据的时钟
    发表于 09-22 10:24

    探寻FPGA中三种时钟处理方法

    时钟处理的方法,这三种方法可以说是 FPGA 界最常用也最实用的方法,这三种方法包含了 bit 和多 bit 数据的时钟
    发表于 10-20 09:27

    三种时钟处理的方法

    时钟处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bit和多bit数据的时钟
    发表于 01-08 16:55

    三种FPGA界最常用的时钟处理法式

    处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bit和多bit数据的时钟处理,学会这三招之后,对于FPGA相关的
    发表于 02-21 07:00

    FPGA初学者的必修课:FPGA时钟处理3大方法

    处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bit和多bit数据的时钟处理,学会这三招之后,对于FPGA相关的
    发表于 03-04 09:22

    如何处理好FPGA设计中时钟间的数据

    介绍3种时钟处理的方法,这3种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bit和多bit数据的
    发表于 07-29 06:19

    如何处理好时钟间的数据呢

    时钟处理是什么意思?如何处理好时钟间的数据呢?有哪几种
    发表于 11-01 07:44

    两级DFF同步器时钟处理简析

    异步bus交互(一)— 两级DFF同步器时钟处理 & 亚稳态处理1.问题产生现在的芯片(比如SOC,片上系统)集成度和复杂度越来越高,通常一颗芯片上会有许多不同的信号工作在不同的
    发表于 02-17 06:34

    FPGA时钟处理简介

    (10)FPGA时钟处理1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA时钟
    发表于 02-23 07:47

    看看Stream信号里是如何做时钟握手的

    逻辑出身的农民工兄弟在面试时总难以避免“时钟”的拷问,在诸多时钟的方法里,握手是一种常见
    发表于 07-07 17:25

    调试FPGA时钟信号的经验总结

    1、时钟信号的约束写法  问题一:没有对设计进行全面的约束导致综合结果异常,比如没有设置异步时钟分组,综合器对异步
    发表于 11-15 14:47