0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于Vivado Non-project,我们应知道的一些问题

FPGA技术驿站 来源:FPGA技术驿站 作者:FPGA技术驿站 2022-12-15 13:51 次阅读

Vivado支持Project模式也支持Non-Project模式。两者既可以支持图形界面也支持Tcl命令,但用到的Tcl命令是不同的,不能混用。通常,Project模式应用更广,便于初学者学习,同时也便于工程管理。Non-Project模式编译时间会比Project模式短,因为所有数据存储在内存,直接内存读取数据比硬盘读取要快一些。这里我们探讨一下使用Non-Project模式时可能碰到的一些问题。

如何读取SystemVerilog文件?

在Non-Project模式下,可以通过read_*命令将设计用到的文件添加到工程中,例如:read_verilog可读取设计所需的Verilog文件(文件后缀为.v或.vh),当为.vh时,表明该文件为Verilog Header文件,在Non-Project模式下并不需要特别指明。但是如果读取的是SystemVerilog文件(文件后缀为.sv)或者使用了SystemVerilog语法的Verilog文件时,就需要在read_verilog命令后添加选项-sv。

如何读取VHDL-2008文件?

类似地,可以通过read_vhdl读取设计所需的VHDL文件,文件后缀为.vhd。但如果VHDL文件使用了VHDL-2008语法,在使用该命令时就要添加选项-vhdl2008。

如何同时读取多个同类型的设计源文件?

使用Non-Project模式时,通常建议将所有的待综合的HDL文件无论是Verilog/VHDL/SystemVerilog放在同一个文件目录下,例如放置在src/hdl目录下,这样可以方便地对同一类文件进行快速读取。例如,读取所有的VHDL文件可用如下命令,这里使用了Tcl命令glob,这种情况下该命令可返回所有的VHDL文件。如果要读取所有的Verilog文件,就用glob ./src/hdl/*.v即可。 read_vhdl [glob ./src/hdl/*.vhd]

如何处理IP?

设计中我们不可避免地会用到IP,无论是Project模式还是Non-Project模式,都建议创建IP工程,以单独管理IP,如下图所示,这对于后续维护和升级IP都大有裨益。

81613bca-7c29-11ed-8abf-dac502259ad0.png

81841190-7c29-11ed-8abf-dac502259ad0.png

IP即可采用全局综合方式,又可采用OOC综合方式,这在生成IP输出文件时就要确定,也只能在此界面确定而无法通过属性修改,如下图所示。

81a2e390-7c29-11ed-8abf-dac502259ad0.png

可以通过命令read_ip读入IP,但该命令只能读入.xci文件,并不会读入预先生成的dcp文件。如果已在IP工程中完成了对IP的OOC综合,那么无需在Non-Project模式下再次对IP进行综合。否则,要先对IP通过命令synth_ip进行OOC综合,此时要用到如下两个命令。get_ips后也可跟随具体的IP名称。执行了synth_ip之后才可以对整个设计执行综合。

generate_target all [get_ips]

synth_ip [get_ips] -force

在Non-Project模式下对IP通过synth_ip执行OOC综合时,要先指定芯片型号,否则工具会按默认的芯片型号进行综合。指定芯片型号要用set_part命令,如下所示:

set_part [get_parts xcku035-fbva900-2-e]

如何处理第三方综合工具提供的网表文件?

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109312
  • System
    +关注

    关注

    0

    文章

    161

    浏览量

    36575
  • project
    +关注

    关注

    0

    文章

    35

    浏览量

    13190
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107

原文标题:关于Vivado Non-project,我们应知道的…

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    请教关于GAL的一些问题

    次在论坛发帖,心里有点激动,刚看过论坛的名人堂,这里真是高手云集,反观自己,真是只不折不扣的菜鸟。所以来请教一些问题。最近在看关于全数字锁相环的资料,不
    发表于 10-31 10:07

    关于H桥的一些问题

    最近在学H桥,有一些问题?图中的上端的开关管若要导通需要高电平的触发,但是开关管的射极没有接地,这样射极电压就是不确定的,这样的话基极和射极的电压就不定让三极管导通,那么H桥又怎么工作呢?
    发表于 07-26 11:05

    关于Buck电路的一些问题

    `想请问下各位答主有关BUCK电路的一些问题我们实验要做的BUCK电路,要求是 Vin=10v,Vout=5v,Io=1A;我们已经做出了实物,下面是驱动和主电路的图。出现的问题:
    发表于 11-07 12:53

    系统级设计输入

    and Non-Project Mode . . . . . . . . . . . . . . . . . . . . . . . 5Chapter 2: Working
    发表于 11-15 10:37

    关于ad9942的一些疑问

    最近再设计关于ad9942的系统,在查看数据手册时发现一些问题,希望论坛里的高手可以予以解答 1.关于GND的设计ad9942支持双通道,有A B 两路,为防止两路之间干扰,我打算
    发表于 12-05 09:13

    VIVADO从此开始高亚军编著

    Non-Project模式下使用OOC / 542.4 综合后的设计分析 / 542.4.1 时钟网络分析 / 542.4.2 跨时钟域路径分析 / 562.4.3 时序分析 / 602.4.4 资源利用率分析
    发表于 10-21 18:24

    请教一些关于CC2541F256这颗芯片的一些问题

    请教一些关于CC2541F256这颗芯片的一些问题。 1、该芯片是使用32M的外部晶振作为时钟倍频到2.4G作为蓝牙信号发射出去,我们的整机做了500套发现所有整机频率有偏移,落在-2
    发表于 08-02 11:44

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,般简单设计中,我们常用的是
    发表于 09-20 07:37

    求助,关于AD603做成VGA的一些问题

    手册的图49以及图33进行更改画出的电路图,请问精通该芯片的工程师,上面的电路能实现我前面所说的5点要求吗?如果有问题的话,需要如何修改才能实现要求? 小弟还有一些关于AD603的一些问题想让相应
    发表于 11-20 07:19

    关于红外通信的一些问题知识点

    关于红外通信的一些问题知识点。
    发表于 05-05 17:40 4次下载

    关于续流二极管的一些问题

    关于续流二极管的一些问题,感兴趣的小伙伴们可以看看。
    发表于 07-26 10:43 23次下载

    如何用Tcl实现Vivado设计流程介绍

    Vivado有两种工作模式:project模式和non-project模式。这两种模式都可以借助VivadoIDE或Tcl命令来运行。相比之下,VivadoIDE给project模式提
    的头像 发表于 10-21 10:58 3358次阅读
    如何用Tcl实现<b class='flag-5'>Vivado</b>设计流程介绍

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project
    发表于 03-22 11:39 50次下载
    <b class='flag-5'>Vivado</b>设计流程指导手册

    Vivado设计流程指导说明

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project
    发表于 03-25 14:39 28次下载

    关于Vivado non-project模式

    vivadoproject模式和non-project模式,project模式就是我们常用的方式,在v
    的头像 发表于 10-17 10:09 2190次阅读