0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Delta Sigma ADC的采样原理和实现方式

TI视频 来源:ti 2019-05-06 06:29 次阅读

介绍Delta Sigma ADC的采样基本原理和具体的实现方式,首先为什么要引入delta sigma ADC采样,之前我们了解到SAR ADC其实在大部分的工业应用中已经能够比较好的满足我们对于ADC的要求。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ti
    ti
    +关注

    关注

    111

    文章

    8187

    浏览量

    210928
  • adc
    adc
    +关注

    关注

    95

    文章

    5652

    浏览量

    539483
  • 采样
    +关注

    关注

    1

    文章

    109

    浏览量

    25371
收藏 人收藏

    评论

    相关推荐

    RZ MPU Delta-sigma的工作原理 Delta-Sigma的应用简介

    目前隔离式Delta-Sigma模数转换器在伺服驱动的相电流检测中得到越来越广泛的应用。
    的头像 发表于 03-22 13:55 1127次阅读

    关于在PSoC 5LP上驱动Delta Sigma ADC的问题求解

    我想问一些关于在 PSoC 5LP 上驱动 Delta Sigma ADC 的问题。 首先,简单介绍一下我的用例:我想使用 Delta Sigma
    发表于 01-23 07:05

    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma

    电子发烧友网为你提供ADI(ADI)MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data
    发表于 10-17 18:35
    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b> <b class='flag-5'>ADC</b> with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b>

    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    电子发烧友网为你提供ADI(ADI)ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA
    发表于 10-12 18:31
    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b> with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA and Reference Data Sheet ADFS7124-8: 8-Channel, Low Noise, Low

    电子发烧友网为你提供ADI(ADI)ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA
    发表于 10-12 18:30
    ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b> with PGA and Reference Data Sheet ADFS7124-8: 8-Channel, Low Noise, Low

    AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表相关产品参数、数据手册,更有AD4129-8
    发表于 10-10 19:21
    AD4129-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,综合PGA和FIFO数据表 ADI

    AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表相关产品参数、数据手册,更有AD4131-8
    发表于 10-10 19:18
    AD4131-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,综合PGA数据表 ADI

    AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表相关产品参数、数据手册,更有AD7124-8:8个通道
    发表于 10-10 18:35
    AD7124-8:8个通道、低噪音、低功率、24Bit、<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,PGA和参考数据表 ADI

    STM32F37x/38x SDADC(Sigma-Delta ADC)入门

    电子发烧友网站提供《STM32F37x/38x SDADC(Sigma-Delta ADC)入门.pdf》资料免费下载
    发表于 09-21 11:29 4次下载
    STM32F37x/38x SDADC(<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>)入门

    Ti.ADS1115-15Bit差分ADC

    Delta-sigma (ΔΣ) 模数转换器 (ADC) 基于过采样原理。ΔΣ ADC 的输入信号以高频(调制器频率)进行采样,随后在数字域
    的头像 发表于 07-09 16:33 9398次阅读
    Ti.ADS1115-15Bit差分<b class='flag-5'>ADC</b>

    增量式Sigma-Delta ADC与传统结构的区别在哪?

    Sigma-Delta ADC设计中,应用于高精度窄带信号,例如生物医疗,仪表测量等领域的Sigma-Delta ADC通常被称为增量式(Incremental)
    的头像 发表于 07-03 16:54 1533次阅读
    增量式<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>与传统结构的区别在哪?

    Delta-Sigma ADC如何实现高分辨率转换?

    ADC可以描述为奈奎斯特速率或过采样转换器。
    的头像 发表于 07-03 11:35 1604次阅读
    <b class='flag-5'>Delta-Sigma</b> <b class='flag-5'>ADC</b>如何<b class='flag-5'>实现</b>高分辨率转换?

    深入理解sigma-delta调制技术

    分享第一个Topic,sigma-delta技术。
    的头像 发表于 06-02 15:28 3430次阅读
    深入理解<b class='flag-5'>sigma-delta</b>调制技术

    sigma_delta和PWM的区别是什么?

    我听说 esp8266 没有硬件 PWM,PWM 库使用内部定时器来驱动 PWM 等输出。但我最近发现了 esp8266 的 delta_sigma 引脚驱动器兼容性并且很高兴,因为我终于可以通过它
    发表于 05-31 06:13

    delta-sigma调制过后的高频噪声是怎么去掉的?

    有没人了解或研究过delta-sigma DPWM,问下这里的delta-sigma 调制有没有应用类似delta-sigma ADC里面的过采样
    发表于 05-06 17:43