电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>新品快讯>英特尔推出32纳米制程工艺的酷睿i7

英特尔推出32纳米制程工艺的酷睿i7

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

英特尔五款优秀的CPU介绍

尽管英特尔曾经声称这是世界上第一个 16 位 CPU,但事实并非如此,事实上,英特尔正在追赶德州仪器 (Texas Instruments) 等公司,后者更早推出了 16 位芯片。
2024-03-18 10:19:4462

苹果M3芯片和英特尔芯片的差距

苹果M3芯片和英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,使其具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-11 18:21:031214

苹果M3芯片与英特尔芯片对比

苹果M3芯片与英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-08 16:12:54336

英特尔CEO称公司全力押注18A制程

据悉,18A制程作为英特尔推动至技术领先地位的第五个阶段,尽管未采用1.8纳米制工艺,但宣称性能及晶体管密度均可与竞争对手的1.8纳米工艺相媲美。
2024-03-01 16:14:47133

英特尔押注18A制程,力争重回技术领先地位

据悉,18A 制程英特尔技术引领道路上的关键阶段,虽非直接采用 1.8纳米工艺英特尔仍自豪宣称其性能与晶体管密度媲美友商的 1.8 nm制程
2024-02-29 15:13:29139

英特尔:2025年全球AIPC将超1亿台占比20%

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-29 09:15:26

英特尔1nm投产时间曝光!领先于台积电

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-28 16:28:32

2纳米芯片的背面供电技术分析

英特尔简化的工艺流程中(见图 5),该工艺首先制造出鳍式场效应晶体管(finFET)或全栅极晶体管,然后蚀刻纳米硅片并填充钨或其他低电阻金属。
2024-02-28 11:45:25221

英特尔18A工艺节点推广激励措施承诺

去年,英特尔CEO帕特·基辛格与多家韩国企业高层会面,详细介绍了英特尔芯片代工商的最新发展动态。据悉,英特尔正积极向韩国芯片创业公司推销18A制程,同时给予鼓励支持。
2024-02-27 14:55:10223

Intel NUC专业机箱元件

Intel NUC专业机箱元件英特尔® NUC专业机箱元件是模块化金属机箱,设计用于容纳和运行英特尔NUC计算元器件。该机壳产品有两种设计选择:用于大多数协作环境的基础版本和用于需要更多I/O的视频
2024-02-27 11:55:30

英特尔首推面向AI时代的系统级代工

英特尔宣布全新制程技术路线图、客户及生态伙伴合作,以实现2030年成为全球第二大代工厂的目标。 新闻亮点: •英特尔首推面向AI时代的系统级代工——英特尔代工(Intel Foundry),在技术
2024-02-26 15:41:45146

英特尔拿下微软芯片代工订单

。此外,英特尔还宣布推出了全球首个专为人工智能(AI)时代设计的系统级晶圆代工服务(Systems Foundry),并透露微软已成为其首个重要客户,将采用Intel 18A制程技术打造新芯片。
2024-02-26 10:01:22204

英特尔拿下150亿美元芯片代工大单,力争2030年成全球第二大代工厂

微软首席执行官萨蒂亚·纳德拉公布了与英特尔达成的芯片采购协议,将采用后者的18A工艺制造自家品牌的集成电路。同时,英特尔还透露已着手筹备在2027年启动14A工艺的生产,其技术水平相当于1.4纳米(nm)。
2024-02-26 09:57:07185

英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-26 08:58:21

英特尔推出面向AI时代的系统级代工,并更新制程技术路线图

英特尔公司近日宣布,将推出全新的系统级代工服务——英特尔代工(Intel Foundry),以满足AI时代对先进制程技术的需求。这一举措标志着英特尔在半导体制造领域的战略扩张,并为其客户提供了更广泛的制程选择。
2024-02-23 18:23:321028

英特尔宣布推进1.4纳米制程

,台积电和三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明英特尔有意在制程技术领域迎头赶上,计划在未来几年内推出更为先进的1.4纳米芯片。这一制程技术的推进将是英特尔为实现2025年之前进入2纳米芯片生产
2024-02-23 11:23:04172

微软联手英特尔制芯片,欲降低对英伟达依赖

今日消息,英特尔IFS Direct Connect 2024会议召开,微软首席执行官萨蒂亚·纳德拉以虚拟形式致辞,宣布将采用Intel 18A制程,自行研制芯片。
2024-02-22 14:57:24174

台积电领跑半导体市场:2纳米制程领先行业,3纳米产能飙升

台积电预期,目前营收总额约 70% 是来自 16 纳米以下先进制程技术,随着 3 纳米和 2 纳米制程技术的贡献在未来几年渐增,比重将会继续增加,预估未来成熟制程技术占营收总额将不超过 2 成。
2024-02-21 16:33:23320

电脑系统i5和i7有什么区别

i5和i7英特尔公司推出的两款处理器系列,是目前市场上较为流行和广泛应用的处理器之一。虽然它们都属于同一家公司的产品,但在性能、核心数、价格等方面存在一些区别。本文将从架构、性能、功耗、适用
2024-02-02 14:45:01489

英特尔登顶2023年全球半导体榜单之首

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-01 11:55:16

英特尔NovaLake采用台积电2纳米

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-01-30 17:04:53

英特尔联手联华电子,创新12nm制程平台

联电共同总经理王石指出,联电与英特尔在美国全资本开支的12nmFinFET制程合作,是公司探寻具备成本效益的产能扩张以及先进工艺节点升级的关键举措。这个行动也预示着我们坚持对客户的郑重承诺。
2024-01-26 09:09:43190

英特尔18A重回工艺领先地位?台积电:没可能

关键因素上来,也就是半导体制造工艺。   在英特尔宣布开展IDM 2.0后,芯片设计厂商们的选择一下多了起来,英特尔、三星和台积电都能为其提供优异的工艺解决方案。尤其是英特尔近年来拼了命地追赶,宁肯下血本,也要把IFS做起来,甚至目标是做到
2024-01-23 00:19:002237

三星启动二代3纳米制程试制,瞄准60%良率

台积电是全球领先的半导体制造企业,也是三星的主要竞争对手。双方都在积极争取客户,并计划在上半年实现第二代3纳米GAA架构制程的大规模量产。
2024-01-22 15:53:26324

台积电2023年Q4营收稳健,先进制程营收占比高达67%

工艺来看,3 纳米制程产品占当期销售额的 15%,5 纳米产品占比达到了 35%,而 7 纳米产品则占据了 17%;整体上看,先进制程(包括 7 纳米及以上)销售额占总销售额的比重达到了 67%。
2024-01-18 14:51:58389

成都汇阳关于成英特尔推出多款新品,24 年或成为 AI PC 出货元年

英特尔发布酷睿 14 代系列新品,台式+移动场景全覆盖】 在 CES 2024 大会上,英特尔推出了酷睿第 14 代台式和移动端处理器系列新品。其中,英特尔在桌面端共推出 18 款全新 35W
2024-01-18 10:10:13235

英特尔在2024年CES上推出首款软件定义汽车SoC芯片

英特尔在2024年CES上推出首款软件定义汽车SoC芯片,也是全球首款采用Chiplet的车规级芯片。
2024-01-12 11:40:581607

英特尔14代处理器系列发布,Arrowlake/LunarLake24年问世

处理器英特尔
looger123发布于 2024-01-10 17:44:38

英特尔推出一家新的AI公司

英特尔高管没有透露该安排的财务细节,包括该交易的估值或英特尔在这家新企业中保留的多数股权。该组织将以独立的董事会运作,英特尔将继续作为股东。
2024-01-05 15:51:45624

英特尔2月21日发布新工艺路线图,或将引入RibbonFET环栅晶体管 

英特尔对此次活动的定位如下: “诚挚邀请您倾听英特尔高层精英、技术专才以及各方合作伙伴深度解读我们的战略布局、卓越工艺技术、尖端封装技巧与生态建设。旨在让您深入理解英特尔的代工厂服务如何助力贵司充分利用英特尔强大的弹性供应实力构筑芯片设计。”
2024-01-05 09:40:29368

联电12纳米技术授英特尔,或成联发科生产关键

据可靠消息来源透露,联电已就12纳米工艺授权与英特尔进行多轮接触且近期将达成协议。主要原因在于联电的12纳米 ARM架构技术和主攻 x86 架构的英特尔形成了很好的互补效应,根据计划,联电将在今后一段时间内收授高达数百亿新台币的专利费。
2023-12-28 14:46:00197

英特尔20A、18A工艺流片,台积电面临挑战

英特尔的Intel 20A和Intel 18A工艺已经开始流片,意味着量产阶段已经不远。而2nm工艺和1.8nm工艺的先进程度无疑已经超过了三星和台积电的3nm工艺
2023-12-20 17:28:52799

今日看点丨华为强烈反对,东方材料宣布终止收购鼎桥;传ASML将推出2nm制造设备 英特尔已采购6台

1. 传ASML 将在未来几个月推出2nm 制造设备 英特尔已采购6 台   近日有消息称,ASML将于未来几个月内推出2nm制程节点制造设备,并计划在2024年生产10台2nm设备,英特尔已采购
2023-12-20 11:23:51706

开启AI PC新纪元!英特尔酷睿Ultra重磅发布,胜任200亿参数大语言模型

12月15日下午,以“AI无处不在 创芯无所不及”为主题的2023英特尔新品发布会在北京举办,英特尔正式推出了基于Intel4制程,采用3D封装技术的酷睿Ultra处理器,为移动平台和边缘计算带来
2023-12-16 15:14:031373

英特尔酷睿Ultra处理器:首款基于Intel 4制程工艺打造的高能

英特尔承诺,他们正在积极与超过100家软件开发商携手,助力PC市场迎来数以百计的AI增强型应用。消费者及商业用户将能够享受到各种充满创新性、生产力及娱乐性的PC体验。比如,使用Adobe Premiere Pro的创意人员可以期待更好的使用体验。
2023-12-15 10:59:46593

台积电和三星竞逐2纳米制程,高通有望改换门庭?

随着全球电子产业向更高精尖领域发展,台积电和三星这两个行业龙头无疑成为争夺下一个巅峰的选手。据悉,这两家公司均打算在2025年商业化量产他们各自的2纳米工艺芯片生产线。尽管台积电已经赢得了苹果、英伟达等知名厂商的支持
2023-12-12 15:33:35303

台积电全包!三星痛失高通明年3纳米订单

三星去年6 月底量产第一代3 纳米GAA (SF3E) 制程,为三星首次采用全新GAA 架构晶体管技术,而第二代3 纳米制程3GAP(SF3) 将使用第二代MBCFET 架构,从第一代3 纳米SF3E基础上再最佳化,预期2024 年进入量产阶段。
2023-12-04 15:55:37362

龙芯3A6000性能实测:媲美10代i3、同频超越14代i5

昨天新一代国产CPU龙芯3A6000正式发布。 按照官方的说法,其总体性能与英特尔2020年上市的第10代四核处理器相当。 发布会上,龙芯中科公布了3A6000和Intel-i3 10100
2023-11-29 10:44:17

台积电、三星、英特尔先进制程竞争白热化

英特尔执行长PatGelsinger 透露,18A 已取得三家客户代工订单,希望年底前争取到第四位客户,先进制程18A 计划于2024 年底开始生产,其中一位客户已先付款,外界预期可能是英伟达或高通。
2023-11-19 10:08:06795

第二代英特尔®奔腾®M处理器产品手册

采用90纳米(nm)制程英特尔®奔腾®M处理器使用优化的微架构,以满足当前和未来的高性能、低功耗的嵌入式计算技术的要求,使之成为大中型企业通信、交易终端机、互动终端和工业自动化应用的理想解决方案。该系列处理器结合先进处理器技术,并与英特尔®微处理器系列的早期产品软件兼容。
2023-11-14 14:41:530

英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

据帕特·基辛格称,英特尔7已经实现了大规模的量产,而英特尔7已经实现了大规模的量产。intel 4使用euv(极紫外线版画)技术,以已经成功大量生产的node为基础,将于12月14日推出intel
2023-11-12 16:28:37533

英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

近日,英特尔公司首席执行官帕特·基辛格表示,英特尔将按计划或提前完成其“四年五个制程节点”计划,英特尔制程技术方面取得的进展正在得到第三方的充分肯定。 在2021年7月,英特尔公布了“四年五个制程
2023-11-10 17:48:09238

英特尔CEO基辛格:英特尔有三大败战!

另外,机型还对英特尔在2010年取消Larrabee的计划表示不满,因为Larrabee原本是一款早期的通用GPU。然而,就基辛格上一次退出英特尔公司后,该计划就被砍掉了。
2023-11-08 16:14:59306

全球首款!苹果发布3纳米制程处理器M3系列

,从个人计算机应用来看,英特尔最近推出的第14代处理器采用自家4纳米制程,目前只能用在桌机尚未导入笔电。相较之下,苹果发表全球第一款3纳米制程处理器M3系列。 台积电向来不评论订单与客户动态。外传苹果包下台积电3纳米产能至少一年
2023-11-02 09:32:47278

三星计划:3年内实现2纳米量产

10月19日,韩国三星电子在德国慕尼黑举办了名为「三星代工论坛2023」的活动。在这个活动上,三星电子以霸气十足的姿态公布了其芯片制造的先进工艺路线图和代工战略,宣称将在未来3年内量产2纳米制程
2023-11-01 15:07:53428

#高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

高通英特尔苹果
深圳市浮思特科技有限公司发布于 2023-10-27 16:46:07

英特尔发布面向发烧友的英特尔酷睿第14代台式机处理器

近日,英特尔发布了以i9-14900K为代表的全新英特尔 酷睿第14代台式机处理器产品家族,涵盖了此次推出的六款全新未锁频台式机处理器,拥有最多24核心和32线程,最大睿频频率高达6GHz。此外
2023-10-21 16:40:03442

英特尔宣布Intel 4已大规模量产,“四年五个制程节点”计划又进一步

近日,英特尔宣布已开始采用极紫外光刻(EUV)技术大规模量产(HVM)Intel 4制程节点。Intel 4大规模量产的如期实现,再次证明了英特尔正以强大的执行力推进“四年五个制程节点”计划,并将
2023-10-13 21:20:02295

英特尔宣布Intel 4已大规模量产,“四年五个制程节点”计划又进一步

近日,英特尔宣布已开始采用极紫外光刻(EUV)技术大规模量产(HVM)Intel 4制程节点。Intel 4大规模量产的如期实现,再次证明了英特尔正以强大的执行力推进“四年五个制程节点”计划,并将
2023-10-13 15:57:43213

台积电3nm夺高通5G大单!

高通去年在骁龙高峰会公布年度5G旗舰晶片“骁龙8 Gen 2”是由台积电4纳米制程打造;前一代高通“骁龙 8 Gen 1”则由三星4纳米制程生产,之后传出散热等问题,高通紧急推出升级版“骁龙 8+ Gen 1”,并改用台积电4纳米制程
2023-09-26 17:17:09943

台积电、英特尔携手推出全球首款小芯片互联

强强联手!英特尔于创新日上展示了世界第一个UCIe连接的Chiplet(小芯片)处理器。此芯片汇聚两大晶圆代工厂尖端技术,分别将使用Intel 3,以及TSMC N3E的Synopsys(新思科
2023-09-22 18:17:02451

英特尔与剪映再发力,全新Meteor Lake XPU加持加速AI功能体验

2023 年 9 月 19 日,圣何塞——在今天举办的英特尔on技术创新大会上,英特尔推出了首款基于 Intel 4 制程工艺打造的 Meteor Lake 处理器平台。得益于先进的Foveros
2023-09-22 09:51:35223

英特尔发布首款基于Intel4的处理器——Meteor Lake

美国半导体巨头英特尔在9月19日举办的年度创新峰会上发布了最新的PC处理器Meteor Lake,这是英特尔首款采用Intel 4制程工艺打造的处理器。
2023-09-20 16:54:421197

与腾讯全方位合作,英特尔做了这些

想看更多“芯”资讯 用你的 赞 和 在看 告诉我们~ 英特尔公司,英特尔英特尔logo及其它英特尔标识,是英特尔公司或其分支机构的商标。文中涉及的其它名称及品牌属于各自所有者资产。 和英特尔Evo
2023-09-15 19:35:07336

英特尔推出全新 Thunderbolt™ 5连接标准

近日,英特尔推出了新一代Thunderbolt连接标准——Thunderbolt5,并展示了笔记本电脑原型机和扩展坞,为PC用户提供更加卓越的连接速度和带宽优势。 “ 在将计算机连接至外接显示器
2023-09-15 19:30:01280

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

合作伙伴关系。 英特尔和新思科技(Synopsys)近日宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP产品组合。提供基于英特尔先进制程节点的关键
2023-09-12 16:36:24175

今日看点丨消息称华为已经启动全面回归全球手机市场的通盘计划;郭明錤称英特尔或将采用18A工艺为ARM生产

1. 郭明錤称英特尔或将采用18A 工艺为ARM 生产芯片   据报道,天风国际证券分析师郭明錤表示,最新调查显示,ARM和英特尔之间的合作不仅限于先进制程优化。ARM很可能成为英特尔18A客户
2023-09-11 11:02:24782

2023服贸会丨一起云逛展,看英特尔如何助力数实融合

英特尔公司,英特尔英特尔logo及其它英特尔标识,是英特尔公司或其分支机构的商标。文中涉及的其它名称及品牌属于各自所有者资产。 原文标题:2023服贸会丨一起云逛展,看英特尔如何助力数实融合 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-09-09 13:15:02386

英特尔新处理器曝光,先进技术为Intel 7制程

目前,英特尔量产的最先进技术为Intel 7制程,比前一代Intel 10的SuperFin制程的每瓦效能提升约10%-15%,而Meteor Lake采用Intel 4制程生产,导入了极紫外光
2023-09-08 15:28:55748

英特尔Agilex FPGA的优势和特性

英特尔推出全新英特尔 Agilex 7 FPGA,以支持在英特尔 DevCloud 中运行 oneAPI 基础工具套件(基础套件)工作负载,使您能够利用基于全新英特尔 FPGA 的高性能与低功耗计算解决方案。
2023-09-08 09:09:53605

MediaTek采用台积公司3纳米制程生产的芯片已成功流片,预计2024年量产

  2023 年9月7日 – MediaTek与台积公司今日共同宣布,MediaTek首款采用台积公司3纳米制程生产的天玑旗舰芯片开发进度十分顺利,日前已成功流片,预计将在明年量产。MediaTek
2023-09-07 10:14:4877

MediaTek 采用台积公司 3 纳米制程生产的芯片已成功流片,预计 2024 年量产

MediaTek 与台积公司今日共同宣布,MediaTek 首款采用台积公司 3 纳米制程生产的天玑旗舰芯片开发进度十分顺利,日前已成功流片,预计将在明年量产。MediaTek 与台积公司
2023-09-07 09:30:01255

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

技与英特尔长期的IP和EDA战略合作伙伴关系之上。 英特尔和新思科技(Synopsys)宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP产品组合。提供基于英特尔
2023-08-26 10:20:01435

英特尔开始加码封装领域

在积极推进先进制程研发的同时,英特尔正在加大先进封装领域的投入。在这个背景下,该公司正在马来西亚槟城兴建一座全新的封装厂,以加强其在2.5D/3D封装布局领域的实力。据了解,英特尔计划到2025年前
2023-08-24 15:57:32245

进展飞速,英特尔制程大跨步!

英特尔在全球共有十个生产据点,这次邀请全球上百名媒体与分析师参访在槟城的组装测试厂、故障分析实验室、验证实验室,以及位于居林的晶圆处理加工厂,还有测试设备制造厂。
2023-08-23 17:11:34567

英特尔锐炫显卡DX11性能更新,并推出全新英特尔PresentMon Beta

英特尔锐炫正式推出DirectX 11驱动更新,为PC游戏玩家带来更强劲性能,同时发布全新工具帮助发烧友和游戏社区更好地衡量和评估系统性能。准备好一起进入极客世界吧! 去年英特尔锐炫台式机产品发布
2023-08-19 11:10:01397

新思科技与英特尔扩大战略合作,以关键IP组合赋能Intel 3/18A先进制程

战略合作伙伴关系之上; 新思科技与英特尔近日共同宣布,双方已经达成一项最终协议,通过为英特尔代工客户开发针对Intel 3和Intel 18A制程工艺的IP产品组合,进一步扩大在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系。新思科技针对英特尔
2023-08-18 15:10:02378

OpenVINO工具套件是否可以商业化使用?

参阅 英特尔® OpenVINO™分销许可第 2.1 节(2021 年 5 月版本)。 无法了解英特尔® 发行版 OpenVINO™ 工具套件是否可以商业化使用。
2023-08-15 08:19:20

安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

无法登录并使用英特尔CVAT怎么解决?

无法登录并使用[i]CVAT.org
2023-08-15 07:52:13

台积电高雄厂将以 2 纳米先进制程技术进行生产规划

" 中央社 " 消息,台积电将于 2025 年实现2 纳米制程的量产,采用纳米片晶体管结构。此外,台积电还在2纳米技术上研发出背面电轨解决方案,这将适用于高效能运算相关应用。台积电计划在2025年下半年推出这种解决方案,并在2026年实现量产。
2023-08-09 18:21:09640

英特尔媒体加速器参考软件Linux版用户指南

英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器参考软件。
2023-08-04 06:34:54

台积电迎新劲敌,Rapidus横空杀出欲抢2纳米客户

8月2日消息,据台媒报道,台积电2纳米制程劲敌不只大家熟知的三星、英特尔,后面还有追兵,日本芯片国家队Rapidus也计划于2027年量产2纳米芯片,抢台积电客户。 值得关注的是,英特尔上周财报会议
2023-08-02 11:39:00440

英特尔与爱立信深化合作,推动下一代5G基础设施优化

新闻亮 — 该合作不仅展示出英特尔对其18A制程工艺的信心,并强调了其在重新获得制程领先地位的“四年五个制程节点”计划上取得的进展。 — 英特尔与爱立信将持续深化合作,基于标准的英特尔 至强 可扩展
2023-07-28 19:45:03279

英特尔放弃NUC的原因找到了

近日,英特尔证实了停止投资NUC(Next Unit of Compute,下一代计算单元)业务,NUC是英特尔设计的小尺寸准系统电脑系列名,也称MINI PC。自英特尔2012年推出了第一台NUC
2023-07-24 13:07:50413

英特尔全新16nm制程工艺有何优势

英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺
2023-07-15 11:32:58757

【机器视觉】欢创播报 | 英特尔发布Gaudi2争夺AI芯片市场

英特尔也强调,Gaudi 2芯片是专为训练大语言模型而构建,采用7纳米制程,有24个张量处理器核心。事实上,去年英特尔就已经在海外发布了Gaudi 2,此次在中国推出的是“中国特供版”。 在发布会现场,英特尔也直接将Gaudi2和英伟达的A100进行比较,其野心可见一斑。根据英特尔公布的数据,从计算机视觉
2023-07-13 11:21:38353

三星3纳米良率不超过20% 将重新拟定制程工艺时间节点

三星最新公布的制程工艺技术路线图显示,该公司计划在2025年开始量产2纳米级SF2工艺,以满足客户对高性能处理器的需求。此前,三星已于今年公布,其3纳米工艺已满足大规模生产的标准。
2023-06-29 16:26:331270

英特尔Nios@ V处理器 加速FPGA软件开发

为实现这一技术突破,英特尔的三大关键创新和技术在其中功不可没:英特尔@ 7制程工艺,第二代英特尔Hyperflex" FPGA架构,高水平的系统集成。
2023-06-27 10:52:24179

英特尔处理器命名彻底改变 将正式淘汰酷睿i7中的“i”

英特尔产品品牌总监Christopher Hirsch在接受the Verge采访时表示:“当我们看到科技媒体、零售商、原始设备制造商(OEM)和合作伙伴是如何谈论这件事的时候,这是很有意思的。”
2023-06-26 16:13:36398

英特尔锐炫Pro图形显卡上新,性能更进一步!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。
2023-06-21 15:14:55354

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫™ Pro A系列专业级图形显卡新增两款产品——英特尔
2023-06-21 13:10:18421

英特尔在芯片中实现背面供电

英特尔表示,它是业内第一个在类似产品的测试芯片上实现背面供电的公司,实现了推动世界进入下一个计算时代所需的性能。PowerVia 将于 2024 年上半年在英特尔 20A 工艺节点上推出,正是英特尔业界领先的背面供电解决方案。它通过将电源路由移动到晶圆的背面,解决了面积缩放中日益严重的互连瓶颈问题。
2023-06-20 15:39:06326

英特尔酷睿品牌重大升级焕新!

近日,英特尔宣布了酷睿品牌的重大升级焕新,将其拆分为针对旗舰级的全新英特尔   酷睿 Ultra(该品牌在中国正式使用的名称将随后分享),以及针对主流级产品的英特尔 酷睿 处理器品牌。即将推出
2023-06-17 10:15:01414

英特尔与AMD的前30年竞争

在 1971 年 11 月,英特尔推出了第一款商用微处理器——英特尔 4004。这款 4 位芯片取代了之前的六款芯片。4004 最初是为计算器制造的,它设定了逻辑微处理器的基本原则:获取指令、执行它们,然后存储结果。
2023-06-12 16:29:57487

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫 Pro A系列专业级图形显卡新增两款产品——英特尔锐炫 Pro
2023-06-09 20:30:02445

王炸,英特尔PowerVia芯片背面供电即将量产,遥遥领先三星和台积电

Kobrinsky还将阐述英特尔对PowerVia更先进部署方法的研究成果,如同时在晶圆正面和背面实现信号传输和供电。 PowerVia将于2024年上半年在Intel 20A制程节点上推出。 作为延续摩尔定律
2023-06-07 16:56:20701

英特尔如何玩转Chiplet?

英特尔最近的 DCAI 网络研讨会上,公司执行副总裁 Sandra Rivera 透露了英特尔第五代至强可扩展处理器 Emerald Rapids 的外观。
2023-06-02 16:54:21395

英特尔i7

2023-05-29 10:17:39

芯片行业,何时走出至暗时刻?

提及英特尔正稳步推进四年五个制程节点计划,2024年在工艺性能上追平对手,2025年凭借Intel 18A制程工艺取得无可争议的领先地位。 英特尔稳步推进四年五个制程节点计划: Intel 7:已实现
2023-05-06 18:31:29

英特尔至强战略背后的思量

此前,英特尔推出了第四代至强可扩展处理器(代号为Sapphire Rapids),这是英特尔面向服务器市场推出的最新一代CPU,可提供比上一代更好的单核性能以及更高的核心数量,带来了更高
2023-05-04 10:46:22600

PCB制程中的COB工艺是什么呢?

PCB制程中的COB工艺是什么呢?
2023-04-23 10:46:59

英特尔将淡出比特币挖矿业务,停产Blockscale芯片

英特尔在一年前声势浩荡地宣布进军比特币挖矿领域,推出 Blockscale 1000 系列 ASIC 芯片,如今一年过去,英特尔宣布淡出这项业务。
2023-04-19 15:15:121875

英特尔和ARM合作 基于英特尔18A工艺进行设计技术协同优化

英特尔18A制程按计划得等到2025年才推出。这次合作会首先聚焦于移动设备SoC,不过随后可能会扩展到自动驾驶、物联网、数据中心等行列。Arm的客户在设计他们的下一代SoC时,将会受益于英特尔18A带来的优势。
2023-04-19 14:31:23913

英特尔落户海南三亚

来源:海南商务官微 日前,英特尔公司在海南三亚注册成立英特尔集成电路(海南)有限公司。2023年4月8日,英特尔三亚办公室开业仪式在三亚中央商务区成功举办,标志着英特尔海南业务启动运作。海南省商务厅
2023-04-11 17:44:38900

凌华科技推出支持第12/13代英特尔酷睿i9/i7/i5/i3工业级主板

IMB-M47H 工业级 ATX 主板支持第 12 代英特尔 处理器,更重要的最新的英特尔 酷睿 处理器采用高性能混合架构,最多支持八个 E-core(能效核)。
2023-03-28 16:56:57584

已全部加载完成