0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

进展飞速,英特尔制程大跨步!

晶扬电子 来源:晶扬电子 2023-08-23 17:11 次阅读

英特尔从1972年在马来西亚设封测厂,近日举行技术参访团活动,是该厂区近51年来首度开放媒体参观马来西亚槟城与居林厂区,过程中也让今年下半年稍晚才要正式发表、首款采用Intel 4制程的指标性Meteor Lake处理器,在生产线的实际运作情形得以首次曝光。 这意味该芯片已进入最后准备阶段,也显示英特尔四年要推进五个制程节点的计划又往前跨一大步。

英特尔在全球共有十个生产据点,这次邀请全球上百名媒体与分析师参访在槟城的组装测试厂、故障分析实验室、验证实验室,以及位于居林的晶圆处理加工厂,还有测试设备制造厂。

在槟城厂区的组装测试厂中,外界得以亲眼直击英特尔下半年稍晚才要登场的Meteor Lake处理器,采用四个小芯片合并而成,已经在生产线上进行最后的组装测试。

bd73e592-4193-11ee-a2ef-92fbcf53809c.png

目前英特尔量产的最先进技术为Intel 7制程,比前一代Intel 10纳米SuperFin制程的每瓦效能提升约10%至15%,而Meteor Lake采用Intel 4制程生产,导入极紫外光(EUV)***技术,此制程标榜可让产品的每瓦效能又提升约20%。后续该公司还要持续推进到Intel 3制程,预计今年下半年可准备量产,Intel 20A与18A制程则规画分别于明年上、下半年进入准备量产阶段。

据thelec报道,英特尔表示对量产Intel 4充满信心。Intel 4是英特尔工艺中首次应用极紫外光(EUV)的案例。据逆向工程公司IC Knowledge称,Intel 4工艺产品的性能优于台积电5nm工艺,与三星电子3nm和台积电3nm相似。这意味着晶体管集成度高于其他公司的3nm工艺。英特尔此前批评称,工艺名称与半导体的实际晶体管长度不一样。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 处理器
    +关注

    关注

    68

    文章

    18288

    浏览量

    222175
  • 英特尔
    +关注

    关注

    60

    文章

    9425

    浏览量

    168834
  • 晶圆
    +关注

    关注

    52

    文章

    4527

    浏览量

    126445

原文标题:进展飞速,英特尔制程大跨步!可比肩台积电3nm,新处理器量产已进入最后阶段!

文章出处:【微信号:晶扬电子,微信公众号:晶扬电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    英特尔押注18A制程,力争重回技术领先地位

    据悉,18A 制程英特尔技术引领道路上的关键阶段,虽非直接采用 1.8纳米工艺,英特尔仍自豪宣称其性能与晶体管密度媲美友商的 1.8 nm制程
    的头像 发表于 02-29 15:13 217次阅读

    英特尔:2025年全球AIPC将超1亿台占比20%

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月29日 09:15:26

    英特尔1nm投产时间曝光!领先于台积电

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月26日 08:58:21

    英特尔推出面向AI时代的系统级代工,并更新制程技术路线图

    英特尔公司近日宣布,将推出全新的系统级代工服务——英特尔代工(Intel Foundry),以满足AI时代对先进制程技术的需求。这一举措标志着英特尔在半导体制造领域的战略扩张,并为其客
    的头像 发表于 02-23 18:23 1073次阅读

    英特尔宣布推进1.4纳米制程

    ,台积电和三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明英特尔有意在制程技术领域迎头赶上,计划在未来几年内推
    的头像 发表于 02-23 11:23 213次阅读

    英特尔登顶2023年全球半导体榜单之首

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月01日 11:55:16

    英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

    处理器英特尔
    looger123
    发布于 :2024年01月10日 17:44:38

    英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

    近日,英特尔公司首席执行官帕特·基辛格表示,英特尔将按计划或提前完成其“四年五个制程节点”计划,英特尔制程技术方面取得的
    的头像 发表于 11-10 17:48 263次阅读

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

    合作伙伴关系。 英特尔和新思科技(Synopsys)近日宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的I
    发表于 09-12 16:36 206次阅读
    <b class='flag-5'>英特尔</b>和新思科技深化合作,提供基于<b class='flag-5'>英特尔</b>先进<b class='flag-5'>制程</b>节点的领先IP

    英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

    技与英特尔长期的IP和EDA战略合作伙伴关系之上。 英特尔和新思科技(Synopsys)宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3
    的头像 发表于 08-26 10:20 458次阅读
    <b class='flag-5'>英特尔</b>和新思科技深化合作,提供基于<b class='flag-5'>英特尔</b>先进<b class='flag-5'>制程</b>节点的领先IP

    安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

    安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
    发表于 08-15 08:14

    英特尔媒体加速器参考软件Linux版用户指南

    英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器
    发表于 08-04 06:34

    英特尔全新16nm制程工艺有何优势

    英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺。
    的头像 发表于 07-15 11:32 801次阅读