0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx FPGA IP之Block Memory Generator功能概述

CHANBAEK 来源: FPGA自学笔记分享 作者: FPGA自学笔记分享 2023-11-14 17:49 次阅读

Xilinx Block Memory Generator(BMG)是一个先进的内存构造器,它使用Xilinx fpga中的嵌入式RAM资源生成面积和 性能优化的内存。

支持普通接口和AXI两种接口。BMG IP的AXI4接口配置派生自本地接口 配置,在IP中添加了一个行业标准总线协议接口。有两种可用的AXI4 接口样式:AXI4和 AXI4- lite。

图片

功能概述:

•优化算法最小块RAM资源利用率或低功耗利用率

•可配置内存初始化

•在UltraScale™,UltraScale+™,Zynq®-7000,

Spartan®-7,Artix®-7,Kintex®-7和Virtex®-7器件上独立的byte写使能(带或不带奇偶校验)

•优化的Verilog行为模型用于仿真提速;精确的结构仿真模型用于模拟存储行为

•每个端口可选择的操作模式:WRITE_FIRST, READ_FIRST或NO_CHANGE

SDP模式下有更低的数据宽度

•标准DOUT块RAM级联

使用普通接口时:

•生成单端口RAM,简单双端口RAM,真双端口RAM,单端口

ROM和双口ROM

•支持最大16M bytes的内存大小(字节大小8或9)(仅受选定部分的内存资源限制)

•可配置端口输入输出比

•支持内置ECC (Hamming Error Correction Capability)。错误注入引脚允许插入单位和双位错误

•支持数据宽度小于64位的软ECC (soft Hamming Error Correction)

•可选择流水线DOUT总线,以提高特定配置的性能

•在SR (Set reset)或CE的优先级之间选择输出寄存器的复位优先级

•性能高达450 MHz

图片

使用AXI4接口时:

•支持AXI4和AXI4- lite接口协议

•符合AXI4的内存和外设从属类型

•独立的读写通道

•零延迟数据路径

•支持握手信号的寄存器输出

•INCR突发大小高达256个数据传输

•WRAP突发大小为2、4、8和16

•AXI非对齐的突发传输

•简单的双端口RAM的配置

•性能高达300Mhz

•支持数据宽度高达256位和内存深度从1到1M字(仅受选定部分的内存资源限制)

•对称输入输出

•异步active-Low复位

使用默认接口时,支持的工作模式:

•单端口RAM

图片

•简单的双端口RAM

图片

•真正的双端口RAM

图片

•单端口ROM

图片

•双端口ROM

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593192
  • 嵌入式
    +关注

    关注

    4982

    文章

    18281

    浏览量

    288430
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119373
  • 内存
    +关注

    关注

    8

    文章

    2767

    浏览量

    72764
收藏 人收藏

    评论

    相关推荐

    Xilinx FPGA IPBlock Memory Generator仿真

    上文对BMG ip的基本情况进行了简单的描述,本文通过例化仿真来实际使用功能一下这个IP
    的头像 发表于 11-14 18:24 717次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>仿真

    Xilinx FPGA IPBlock Memory Generator AXI接口说明

    之前的文章对Block Memory Generator的原生接口做了说明和仿真,本文对AXI接口进行说明。
    的头像 发表于 11-14 18:25 800次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b> AXI接口说明

    Distributed Memory Generator IP核简介

    Distributed Memory Generator IP 核采用 LUT RAM 资源创建各种不同的存储器结构。IP可用来创建只读存储器 (ROM)、单端口随机存取存储器 (RA
    的头像 发表于 11-17 17:00 870次阅读
    Distributed <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b> <b class='flag-5'>IP</b>核简介

    FPGA设计高级技巧Xilinx

    FPGA设计高级技巧Xilinx篇目录414.3 减少关键路径的逻辑级数.............................................404.2IF语句和Case语句速度
    发表于 08-11 11:43

    Xilinx FPGA无痛入门,海量教程免费下载

    发生器之功能概述Lesson75 特权Xilinx FPGA SF-SP6入门指南 -- 波形发生器I
    发表于 07-22 11:49

    Xilinx FPGA入门连载38:SRAM读写测试设计概述

    `Xilinx FPGA入门连载40:SRAM读写测试设计概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1
    发表于 12-18 12:57

    Xilinx FPGA入门连载43:FPGA片内ROM实例之功能概述

    Xilinx FPGA入门连载43:FPGA片内ROM实例之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.ba
    发表于 01-06 12:22

    Xilinx FPGA入门连载44:FPGA片内ROM实例ROM配置

    `Xilinx FPGA入门连载44:FPGA片内ROM实例ROM配置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1
    发表于 01-08 13:12

    Xilinx FPGA入门连载47:FPGA片内RAM实例之功能概述

    Xilinx FPGA入门连载47:FPGA片内RAM实例之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.ba
    发表于 01-20 12:28

    Xilinx FPGA入门连载48:FPGA片内RAM实例RAM配置

    `Xilinx FPGA入门连载48:FPGA片内RAM实例RAM配置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1
    发表于 01-22 13:39

    Xilinx FPGA入门连载51:FPGA片内FIFO实例之功能概述

    Xilinx FPGA入门连载51:FPGA片内FIFO实例之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.b
    发表于 02-26 10:26

    Xilinx FPGA片内ROM实例ROM配置

    Xilinx FPGA入门连载44:FPGA片内ROM实例ROM配置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1
    发表于 01-09 16:02

    创建Block RAM IP不起作用

    在我的一个FPGA类中,我被要求使用coregen创建一个blcok ram(8dx16w),单端口ram IP。我在Windows XP,Service Pack 3上使用Xilinx ISE
    发表于 06-05 13:39

    xilinx 7系列FPGA里面的Block RAM

    些大材小用,因此xilinx公司在其FPGA内部专门集成了很多存储器模块,称作Block RAM,其犹如slice海洋当中的一颗颗明珠,专门实现数据暂存功能,且每个时钟区域都布置了若干
    的头像 发表于 11-23 14:08 7459次阅读
    <b class='flag-5'>xilinx</b> 7系列<b class='flag-5'>FPGA</b>里面的<b class='flag-5'>Block</b> RAM

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO对XILINX FIFO Generator IP的特
    的头像 发表于 09-07 18:31 903次阅读
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之FIFO <b class='flag-5'>Generator</b>例化仿真