0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AI芯片CoWoS封装产能受限,中介层不足成关键

产业大视野 来源:产业大视野 2023-08-30 17:09 次阅读

人工智能AI芯片缺货,英伟达H100和A100芯片均采用台积电CoWoS先进封装,但CoWoS产能受限待爬坡。法人分析,CoWoS封装所需中介层因关键制程复杂、高精度设备交期拉长而供不应求,牵动CoWoS封装调度及AI芯片出货。

大语言模型训练和推理生成式AI(Generative AI)应用,带动高端AI服务器和高性能计算(HPC)数据中心市场,内置集成高带宽内存(HBM)的通用绘图处理器(GPGPU)供不应求,主要大厂英伟达(Nvidia)A100和H100绘图芯片更是严重缺货。

研调机构集邦科技(TrendForce)指出,AI及HPC芯片对先进封装技术需求大,其中以台积电的2.5D先进封装CoWoS技术,是目前AI芯片主力采用者。

美系外资法人分析,英伟达是采用台积电CoWoS封装的最大客户,例如英伟达H100绘图芯片采用台积电4纳米先进制程,A100绘图芯片采用台积电7纳米制程,均采用CoWoS技术,英伟达占台积电CoWoS产能比重约40%至50%。

至于英伟达8月上旬推出的L40S绘图芯片,未采用HBM内存,因此不会采用台积电CoWoS封装。

产业人士指出,通用绘图处理器采用更高规格的高带宽内存,需借由2.5D先进封装技术将核心晶粒(die)集成在一起,而CoWoS封装的前段芯片堆栈(Chip on Wafer)制程,主要在芯片厂内通过65纳米制造并进行硅穿孔蚀刻等作业,之后再进行堆栈芯片封装在载板上(Wafer on Substrate)。

不过台积电CoWoS封装产能吃紧,在7月下旬法人说明会,台积电预估CoWoS产能将扩张1倍,但供不应求情况要到明年底才可缓解。台积电7月下旬也宣布斥资近新台币900亿元,在竹科辖下铜锣科学园区设立先进封装芯片厂,预计2026年底完成建厂,量产时间落在2027年第2季或第3季。

英伟达首席财务官克芮斯(Colette Kress)在8月24日在线上投资者会议透露,英伟达在CoWoS封装的关键制程,已开发并认证其他供应商产能,预期未来数季供应可逐步爬升,英伟达持续与供应商合作增加产能。

美系外资法人集成AI芯片制造的供应链消息指出,CoWoS产能是AI芯片供应产生瓶颈的主要原因,亚系外资法人分析,CoWoS封装产能吃紧,关键原因在中介层供不应求,因为中介层硅穿孔制程复杂,且产能扩展需要更多高精度设备,但交期拉长,既有设备也需要定期清洗检查,硅穿孔制程时间拉长,因此牵动CoWoS封装调度。

法人指出,除了台积电,今年包括联电和日月光投控旗下硅品精密,也逐步扩展CoWoS产能。

台厂也积极布局2.5D先进封装中介层,台积电在4月下旬北美技术论坛透露,正在开发重布线层(RDL)中介层的CoWoS解决方案,可容纳更多高带宽内存堆栈;联电在7月下旬说明会也表示,加速展开提供客户所需的硅中介层技术及产能。

美系外资法人透露,台积电正将部分硅中介层(CoWoS-S)产能转移至有机中介层(CoWoS-R),以增加中介层供应。

日月光投控在7月下旬说明会也表示,正与芯片厂合作包括先进封装中介层组件;IC设计服务厂创意去年7月指出,持续布局中介层布线专利,并支持台积电的硅中介层及有机中介层技术。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数据中心
    +关注

    关注

    15

    文章

    4189

    浏览量

    70103
  • 语言模型
    +关注

    关注

    0

    文章

    436

    浏览量

    10057
  • CoWoS
    +关注

    关注

    0

    文章

    95

    浏览量

    10320
  • AI芯片
    +关注

    关注

    17

    文章

    1657

    浏览量

    34406

原文标题:AI芯片CoWoS封装产能受限,中介层不足成关键

文章出处:【微信号:robotn,微信公众号:产业大视野】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    曝台积电考虑引进CoWoS技术 筹划日本建先进封装产能

     今年年初,台积电总裁魏哲家曾表示,公司计划在今年将CoWoS的产量翻倍,并在2025年继续扩大产能。日本已成为台积电扩大产能的重要目标。
    的头像 发表于 03-18 15:31 583次阅读

    CoWoS封装产能限制AI芯片出货量

    晶圆厂设备制造商称,台积电的可用CoWoS产能不足以满足需求。消息人士称,尽管台积电努力加快设备改造,但到2023年底,CoWoS的月产能
    的头像 发表于 01-19 11:14 519次阅读

    AMD寻求CoWoS产能,以拓展AI芯片市场

     据了解,台积电公司(TSMC)的CoWoS产能已经饱和,且未来扩产计划主要服务于英伟达,为满足AMD需求新建生产线需耗时6—9个月。据此推测,AMD可能会寻找具有类似CoWoS 封装
    的头像 发表于 01-03 14:07 233次阅读

    报告称台积电改机增CoWoS产能 预估明年倍增

    在展望明年cowos产能力状况时,法人预测台积电明年cowos的年生产能力将增加100%,其中英伟达将占tsmc cowos
    的头像 发表于 11-08 14:29 336次阅读

    CoWoS产能不足 台积电调派数千人支援

    据设备企业推算,台积电CoWoS的年末月生产能力将达到1.2~1.4万个,到2024年将增加一倍,到明年年底至少将超过2.6万个,甚至超过3万个。
    的头像 发表于 09-26 09:44 260次阅读

    传联电、日月光CoWoS封装中介层订单将涨价

    业内人士预测,台积电的生产扩张一直是为了应对顾客的实际需求而增加的,到那时,顾客订单占生产能力的比重将达到90%的高水平。同时衍生的中介层订购动能将比今年同时增加一倍。其中,联电和日月光投资控制等半导体大型工厂已经分别获得了tsmc外部的
    的头像 发表于 09-25 11:18 529次阅读

    CoWoS产能不足 传台积电启动第三波设备追单

    几个月前,英伟达 ai gpu的需求激增,导致tsmc组装cowos先进产品的能力严重不足。tsmc总经理魏哲家此前曾在与顾客的电话会议上表示,要求扩大cowos的生
    的头像 发表于 09-12 09:53 366次阅读

    面对台积电打出的“CoWoS封装”牌,大陆厂商是否有一战之力?

    CoWoS,是Chip on Wafer on Substrate的简称。这一长串名词可以分为CoW与WoS。CoW,将芯片(有源硅芯片)堆叠在中介层(无源硅片)上,WoS则是将
    的头像 发表于 08-28 14:59 2126次阅读
    面对台积电打出的“<b class='flag-5'>CoWoS</b><b class='flag-5'>封装</b>”牌,大陆厂商是否有一战之力?

    英伟达再度追加扩产硅中介产能

      英伟达(NVIDIA)积极打造非台积CoWoS供应链,供应链传出,联电不但抢头香,大幅扩充硅中介层(silicon interposer)一倍产能,近日再度追加扩产幅度逾二倍,硅中介
    的头像 发表于 08-28 11:11 992次阅读

    英伟达扩充非台积电供应链 传联电硅中介产能增加两倍至1万片/月

    几个月前,随着英伟达的ai gpu需求剧增,台积电cowos的先进封装产能力严重不足。设备制造企业预测,tsmc的
    的头像 发表于 08-25 10:47 579次阅读

    英伟达将取台积电6成CoWoS产能

    据台媒电子时报报道,数月前英伟达AI GPU需求急速导致台积电CoWoS先进封装产能严重不足,近日台积电总裁魏哲家坦言,先前与客户电话会议,
    的头像 发表于 08-09 09:35 933次阅读
    英伟达将取台积电6成<b class='flag-5'>CoWoS</b><b class='flag-5'>产能</b>?

    先进封装CoWoS:台积电吃肉,其他家只能喝汤

    AI芯片带来的强劲需求下先进封装景气度正在反转。有媒体日前消息称,当前英伟达、博通、AMD均在争抢台积电CoWoS产能,公司
    的头像 发表于 08-01 10:36 1654次阅读
    先进<b class='flag-5'>封装</b><b class='flag-5'>CoWoS</b>:台积电吃肉,其他家只能喝汤

    CoWoS先进封装是什么?

    随着chatGPT横空出世,生成式AI红遍全球,带动AI芯片的需求强劲,英伟达(NVIDIA)的H100、A100全部由台积电代工,并使用台积电的CoWoS先进
    的头像 发表于 07-31 12:49 2480次阅读

    全面详解CoWoS封装技术特点及优势

    CoWoS 技术概念,简单来说是先将半导体芯片(像是处理器、记忆体等),一同放在硅中介层上,再透过Chip on Wafer(CoW)的封装制程连接至底层基板上。
    发表于 07-11 10:06 4706次阅读
    全面详解<b class='flag-5'>CoWoS</b><b class='flag-5'>封装</b>技术特点及优势

    AI需求爆发将驱动先进封装产能增长

    集邦观察,在强劲需求的带动下,台积电到2023年底cowos月产量有望达到12k。仅英伟达的cowos产能力就比年初增加了50%。如果amd、谷歌等高水平ai
    的头像 发表于 06-27 09:41 347次阅读