0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CoWoS先进封装是什么?

传感器技术 来源:集微网 2023-07-31 12:49 次阅读

AI芯片需求强劲,台积电 CoWoS先进封装产能吃紧,7月25日证实斥资900亿元新台币在竹科铜锣园区设立先进封装厂,预计2026年底建厂完成,2027年第三季开始量产。究竟什么是“CoWoS”、与AI有何关联、CoWoS供应链有哪些?本文带您了解!

CoWoS先进封装是什么?

CoWoS(Chip on Wafer on Substrate)是一种2.5D/3D封装技术,可以拆成两部分来看,CoW(Chip on Wafer),指的是芯片堆叠,WoS(Wafer on Substrate)则是将堆叠的芯片封装在基板上。

为何要用CoWoS?

CoWoS可以将CPUGPUDRAM等各式芯片以并排方式(side-by-side)堆叠,有节省空间、减少功耗的优势;另外,因为CoWoS能将不同制程的芯片封装在一起,可达到加速运算但同时控制成本的目的,适用于AI 、GPU 等高速运算芯片封装。

台积电CoWoS封装十年磨一剑

CoWoS是台积电独门技术,2012年即推出,不过,由于成本昂贵,因而推出后除了赛灵思等少数客户采用,之后便乏人问津。

不过随着AI热潮引爆,台积电CoWoS封装技术也熬出头,产能大爆发,台积电总裁魏哲家在本月20日法说会上坦言,AI相关需求增加,预测未来五年内将以接近50%的年平均成长率成长,并占台积电营收约1成,台积电也决定将资本支出中加重在CoWoS先进封装产能的建置,且是愈快愈好(As quickly as possible)!

为何CoWoS产能爆发?

随着chatGPT横空出世,生成式AI红遍全球,带动AI芯片的需求强劲,英伟达(NVIDIA)的H100、A100全部由台积电代工,并使用台积电的CoWoS先进封装技术,除了英伟达外,AMD MI300也导入CoWoS技术,造成CoWoS产能供不应求。

CoWoS扩产进度?

台积电董事长刘德音6月股东会透露,AI让台积电先进封装需求大增,被客户要求增加产能,因此释出部分高端封测订单给专业封测代工厂,另外,希望在龙潭扩张CoWoS产能,甚至把一些InFO产能挪到南科去。

本月25日台积电也证实拿下竹科铜锣基地,消息人士透露,关键是台积电总裁魏哲家亲自致电已取得租地权的力积电董事长黄崇仁,黄崇仁考虑短期内尚无兴建第二座新厂需求、且无竞争关系,同意释出土地,成全台积电扩建需求。

台积电先进封装厂规划:

新竹竹科

台南南科(接收龙潭InFO)

桃园龙潭(扩充CoWoS)

台中中科

苗栗竹南

苗栗铜锣(年底整地、2024年动工)

编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DRAM
    +关注

    关注

    40

    文章

    2179

    浏览量

    182039
  • 台积电
    +关注

    关注

    43

    文章

    5279

    浏览量

    164806
  • cpu
    cpu
    +关注

    关注

    68

    文章

    10446

    浏览量

    206572
  • gpu
    gpu
    +关注

    关注

    27

    文章

    4422

    浏览量

    126711
  • CoWoS
    +关注

    关注

    0

    文章

    93

    浏览量

    10320
  • 先进封装
    +关注

    关注

    0

    文章

    270

    浏览量

    90

原文标题:台积电抢盖CoWoS先进封装厂 一文整理CoWoS是什么、为何需求爆发?

文章出处:【微信号:WW_CGQJS,微信公众号:传感器技术】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电第六代CoWoS先进封装技术有望2023年投产;中科大研制出新型硫化物高效光催化剂…

    据国外媒体报导,目前正在冲刺先进制程的晶圆代工龙头台积电,另外在另一项秘密武器先进封装的发展上也有所斩获。而为了满足市场上的需求,台积电的新一代先进
    的头像 发表于 10-28 09:36 3137次阅读

    AI芯片卖爆,获益的不止英伟达一家

    第三方统计机构预测,到2030年,AI芯片市场将从现在的200亿美元扩张至千亿美元以上。至于从这条大热的赛道上捞金无数的,也不仅仅只有英伟达这一家企业。   爆单的晶圆代工厂   上一季度,台积电明确表示AI芯片需求旺盛,导致其CoWoS先进
    的头像 发表于 11-24 01:17 2019次阅读
    AI芯片卖爆,获益的不止英伟达一家

    今日看点丨飞凡汽车回应裁员、倒闭传言;传TCL华星或今年宣布8.6代OLED产线投资计划

    1. 传台积电将在日本增设CoWoS 先进封装产能   台积电在日本首座晶圆厂已建成,近日据两位消息人士透露,台积电正考虑在日本建设先进封装
    发表于 03-18 11:07 679次阅读

    新思科技Design Platform支持TSMC多裸晶芯片3D

    关键词:CoWoS , WoW , 先进封装 新思科技(Synopsys)宣布,新思科技Design Platform全面支持TSMC WoW直接堆叠和 CoWoS
    发表于 10-27 22:14 372次阅读

    CoWoS先进封装技术介绍 CoWoS-R技术主要特点分析

    CoWoS-R 技术的主要特点包括: 1)RDL interposer 由多达 6L 铜层组成,用于最小间距为 4um 间距(2um 线宽/间距)的布线。 2)RDL 互连提供良好的信号和电源完整性性能,路由线路的 RC 值较低,可实现高传输数据速率。
    发表于 07-26 11:27 8095次阅读
    <b class='flag-5'>CoWoS</b><b class='flag-5'>先进</b><b class='flag-5'>封装</b>技术介绍 <b class='flag-5'>CoWoS</b>-R技术主要特点分析

    详细介绍CoWoS-S的关键制造步骤

    人工智能正在蓬勃发展。每个人都想要更多的人工智能加速器,而主要的限制因素是将 5nm ASIC 和 HBM 组合在一起的 CoWoS 先进封装工艺,其产能容量不足导致 GPU 短缺,这种短缺将持续到明年第二季度。
    的头像 发表于 07-28 10:20 1157次阅读
    详细介绍<b class='flag-5'>CoWoS</b>-S的关键制造步骤

    英伟达将取台积电6成CoWoS产能?

    据台媒电子时报报道,数月前英伟达AI GPU需求急速导致台积电CoWoS先进封装产能严重不足,近日台积电总裁魏哲家坦言,先前与客户电话会议,要求扩大CoWoS产能。
    的头像 发表于 08-09 09:35 929次阅读
    英伟达将取台积电6成<b class='flag-5'>CoWoS</b>产能?

    英伟达GPU短缺影响AI服务器出货量 台积电加紧扩产

    据消息人士透露,台积电一直在为提高cowos先进封装能力,满足英伟达ai芯片的供应而努力,但目前的生产能力仍不足以满足需求。消息人士还补充说,随着cowos的生产量的增加,8月以后n
    的头像 发表于 08-14 10:37 559次阅读

    英伟达扩充非台积电供应链 传联电硅中介层产能增加两倍至1万片/月

    几个月前,随着英伟达的ai gpu需求剧增,台积电cowos先进封装生产能力严重不足。设备制造企业预测,tsmc的cowos总生产量到2023年将超过12万个,到2024年将增加到2
    的头像 发表于 08-25 10:47 579次阅读

    CoWoS先进封装产能吃紧,传英伟达急找日月光协助

    日月光不评论单一客户与订单动态。业界指出,英伟达的整个AI芯片结构设计是最高商业秘密,唯有通过专业代工厂协助,才能避开IDM提供晶圆代工与封测服务可能的机密外流风险。
    的头像 发表于 08-25 10:57 513次阅读

    产能紧张,联电、日月光急单要涨价

    台积电在CoWoS先进封装领域的产能紧张,这导致英伟达在AI芯片方面的生产受到限制。有消息称,英伟达正考虑通过加价寻找除台积电以外的替代生产能力,以应对这一局面。这一消息引发了巨大的订单涌入效应
    的头像 发表于 08-31 16:38 405次阅读

    台积电先进封装客户大追单加快扩产明年月产能拉升120%

    台积电对cowos先进封装设备相关生产能力附设问题没有进行评论。业界相关人士分析说:“tsmc的5大顾客的接单表明,随着ai应用的广泛普及,图像处理装置(gpu)和ai加速器等芯片需求将会爆发,广达、纬创、纬颖、英业达等ai服务
    的头像 发表于 11-13 12:56 650次阅读

    消息称台积电先进封装客户大幅追单,2024年月产能拟拉升120%

    据报道,台积电为了应对上述5大顾客的需求,正在加快cowos先进封装生产能力的扩充,预计明年月生产能力将比原来的目标约增加20%,达到3.5万个。
    的头像 发表于 11-13 14:50 427次阅读
    消息称台积电<b class='flag-5'>先进</b><b class='flag-5'>封装</b>客户大幅追单,2024年月产能拟拉升120%

    台积电CoWoS先进封装产能目标上调,交货周期缩短至10个月

    台积电设定了提高推进先进封装能力的目标,预计到2024年底,其CoWoS封装产能将达到每月3.2万片,而到2025年底将进一步增至每月4.4万片。
    的头像 发表于 01-25 11:12 439次阅读

    京元电成台积电扩产最大赢家,订单量呈现倍数式爆炸性增长

    关于具体业务情况,京元电并不对外评论。然而,总经理张高薰在三月初一次访谈中表示,CoWoS先进封装产能短缺严重,已有大量订单选择外包。晶圆代工厂的扩展对于京元电而言,是个巨大商机。
    的头像 发表于 03-18 10:45 323次阅读