电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>新品快讯>意法爱立信发布首个40nm制造工艺的CG2905平台

意法爱立信发布首个40nm制造工艺的CG2905平台

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

意法半导体携手三星推出18nm FD-SOI工艺,支持嵌入式相变存储器

据悉,FD-SOI 是一种先进的平面半导体技术,能够通过简化制作流程进行精准的漏电流控制,相较于现有的 40nm EPM 技术,新工艺大幅度提高了性能指标:能效提升 50%,数字密度增加三倍有余,并能够承载更大的片上存储和更低的噪音系数。
2024-03-21 14:00:2350

旋转花键的制造工艺

旋转花键的制造工艺是一门精细的技术,涉及多个步骤和精细的操作,以确保最终产品的质量和性能,下面简单介绍下旋转花键的制造工艺
2024-03-16 17:39:1780

Android 15的首个开发者预览版现已发布

Android 15 的首个开发者预览版现已发布,以便各位开发者能与我们通力协作,打造更优秀的 Android 平台
2024-03-12 14:16:05255

云塔科技发布世界首个LB/MB/HB/UHB四工器

云塔科技(安努奇)发布世界首个LB/MB/HB/UHB四工器,基于云塔自主知识产权的SPD技术,其芯片制程工艺实现100%国产化。
2024-03-11 11:33:39232

爱立信发布基于AI的意图驱动运营方案

日前,爱立信宣布:在其托管服务交付平台——爱立信运营引擎(Ericsson Operations Engine)中,嵌入了基于AI赋能的意图驱动运营方案,为消费者和企业用户提供差异化优质5G服务。
2024-02-21 09:15:14543

无意发展至10nm以下,第二梯队晶圆代工厂的成熟工艺现状

电子发烧友网报道(文/周凯扬)半导体制造工艺经过多年的发展,已经有了翻天覆地的变化。但如果我们单从晶圆代工厂的工艺布局来看,就会发现变化并不算大,领头的台积电、三星等依然在加大先进工艺投入,而第二
2024-02-21 00:17:002598

苹果将成为首个采用其最新2nm工艺的客户

2nm工艺是台积电采用的革新性GAA(Gate-All-Around)技术,在相同功耗下相比当前最先进的N3E工艺,速度提升10%至15%,或在相同速度下功耗降低25%至30%。这一突破将大大提升苹果设备的性能,并延长电池使用时间。
2024-01-26 15:51:50208

苹果将抢先采用台积电2nm工艺,实现技术独享

例如,尽管iPhone 15 Pro已发布四个月,A17 Pro仍在使用台积电专有的3nm工艺。根据MacRumors的报告,这一趋势似乎仍将延续至2nm工艺
2024-01-26 09:48:34202

国产FPGA介绍-上海安路

计划与国内通信企业展开深度合作。 其FPGA从55/40nm进入主流28nm工艺平台,在器件性能和容量上也都有较大的提升,相应地对FPGA编译软件和IP也提高了要求,28nm器件预计在2020年批量供应。
2024-01-24 10:46:50

国产FPGA介绍-紫光同创

高性能FPGA芯片Titan系列,采用40nm工艺,可编程逻辑资源最高达18万个,已广泛应用于通信、信息安全等领域。 Titan系列高端FPGA产品PGT180H已向国内多家领先通信设备厂商批量供货
2024-01-24 10:45:40

CJ Logistics携手爱立信部署物流行业首个商用5G专网

全球物流领导者CJ Logistics,运营范围覆盖全球36个国家,近日与通信巨头爱立信达成合作,共同在韩国利川的Ichiri中心部署了物流行业的首个全面商用5G专网。
2024-01-10 18:21:51723

台积电3nm工艺预计2024年产量达80%

据悉,2024年台积电的第二代3nm工艺(称为N3E)有望得到更广泛运用。此前只有苹果有能力订购第一代N3B高端晶圆。经过解决工艺难题及提升产量后,台积电推出经济实惠的3nm版型,吸引更多企业采用。
2024-01-03 14:15:17279

高频基频(HFF)晶体芯片制造工艺

制造工艺晶体芯片
Piezoman压电侠发布于 2024-01-02 17:28:57

一文详解芯片的7nm工艺

芯片的7nm工艺我们经常能听到,但是7nm是否真的意味着芯片的尺寸只有7nm呢?让我们一起来看看吧!
2023-12-07 11:45:311594

22nm技术节点的FinFET制造工艺流程

引入不同的气态化学物质进行的,这些化学物质通过与基材反应来改变表面。IC最小特征的形成被称为前端制造工艺(FEOL),本文将集中简要介绍这部分,将按照如下图所示的 22 nm 技术节点制造 FinFET 的工艺流程,解释了 FEOL 制造过程中最重要的工艺步骤。
2023-12-06 18:17:331122

STM32H5开发(4)----开发板介绍

STM32H503RBTx_LQFP64是STM32H5系列微控制器的一款出色评估套件,它采用了先进的40nm工艺制造,为开发者提供了卓越的性能和能效。主频高达250MHz的Arm® Cortex®-M33内核使其处理能力非常强大,可以轻松应对各种复杂的计算和任务。
2023-12-01 15:00:30287

三星D1a nm LPDDR5X器件的EUV光刻工艺

三星D1a nm LPDDR5X器件的EUV光刻工艺
2023-11-23 18:13:02579

今日看点丨消息称英伟达 RTX 50 显卡采用台积电 3nm 工艺;起亚称不放弃中国市场,正与百度研发车机系统

,英伟达当前的 RTX 40 显卡采用“TSMC 4N”工艺,没有说明具体是几纳米工艺,有报道称是定制的 5nm 工艺。英伟达官方表示,在 TSMC 4N 定制工艺技术加持下,RTX 40 系列 GPU
2023-11-20 11:05:44632

芯片花了500万投片,怎么定价呢

国内大部分公司都是采用40/28nm工艺,一方面技术非常成熟,一方面成本可控,学员们不用一味追求高端工艺,毕竟国内能用7nm设计的屈指可数,而用成熟工艺的有几千家,景芯很多学员拿到的50w+ offer的也是去做的成熟工艺,这也是景芯SoC培训采用40nm工艺的原因。
2023-11-14 15:38:571083

全球首颗3nm电脑来了!苹果Mac电脑正式进入3nm时代

前两代M1和M2系列芯片均采用5nm制程工艺,而M3系列芯片的发布,标志着苹果Mac电脑正式进入3nm时代。 3nm利用先进的EUV(极紫外光刻)技术,可制造极小的晶体管,一根头发的横截面就能容纳两百万个晶体管。苹果用这些晶体管来优化新款芯片的每个组件。
2023-11-07 12:39:13310

芯片凭啥那么贵!成本在哪里?

掩膜成本就是采用不同的制程工艺所花费的成本,像40/28nm工艺已经非常成熟,40nm低功耗工艺的掩膜成本为200万美元;28nm SOI工艺为400万美元;28nm HKMG成本为600万美元。
2023-11-06 18:03:291591

日本Socionext发布了业界首款32核数据中心级芯片

日本定制芯片开发商 Socionext 发布了业界首款 32 核数据中心级芯片,该芯片将采用台积电 2nm制造工艺制造
2023-10-30 18:21:37487

Socionext着手研发基于3nm车载工艺的ADAS及自动驾驶SoC

和可靠性的情况下,快速利用3nm工艺技术实现ADAS和自动驾驶算力需求。我们同时期望台积电技术能为社会生活带来更多创造力。”Socionext计划与台积电就合作项目展开密切合作,从N3A工艺N3AE的早期发布开始设计,加快车规级产品量产进度,目标成为首批采用N3A制程工艺的车规级产品供应商之一。
2023-10-30 11:11:44642

2nm芯片什么时候出 2nm芯片手机有哪些

N2,也就是2nm,将采用GAAFET全环绕栅极晶体管技术,预计2025年实现量产。 2nm芯片是指采用了2nm制程工艺制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 2nm芯片手机
2023-10-19 17:06:18799

2nm芯片是什么意思 2nm芯片什么时候量产

2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
2023-10-19 16:59:161958

STM8S903F3M6,ST/,16 MHz STM8S 8位MCU

STM8S903F3M6,ST/,16 MHz STM8S 8位MCUSTM8S903F3M6,ST/,16 MHz STM8S 8位MCUSTM8S903F3M6,描述STM8S903K3
2023-10-17 16:52:24

STM32H725ZGT6,ST/半导体,ArmCortex-M7 32位550 MHz MCU

STM32H725ZGT6,ST/半导体,Arm®Cortex®-M7 32位550 MHz MCU,最高1 MB闪存,564 KB,RAM、以太网、USB、3个FD-CAN、图形、2个16位
2023-10-16 15:52:51

2nm芯片工艺有望破冰吗?

芯片2nm
亿佰特物联网应用专家发布于 2023-10-11 14:52:41

今日看点丨百度发布首个量子领域大模型,依托量子平台、文心大模型;福特暂停采用宁德时代技术建设电池

1.百度发布首个量子领域大模型,依托量子平台、文心大模型 近日,2023量子产业大会上百度量子计算研究所所长段润尧带来百度量子软硬件和解决方案等方面的最新成果,发布首个量子领域大模型,及百度量子助手
2023-09-26 11:06:30442

爱立信达成开放网络能力API重要里程碑:德国电信成为全球首个商用合作运营商

近日,爱立信 利用网络API创建全球网络平台业务的战略,迈出了具有里程碑意义的一步。 爱立信与德国电信(DT)达成商业合作伙伴关系,为开发者和企业提供通信和网络API。 这是 全球首个为开发者与企业
2023-09-22 21:40:02702

什么是3nm工艺芯片?3nm工艺芯片意味着什么?

的大部分时间里,用于制造芯片的工艺节点的名称是由晶体管栅极长度的最小特征尺寸(以纳米为单位)或最小线宽来指定的。350nm工艺节点就是一个例子。
2023-09-19 15:48:434477

今日看点丨消息称华为海思正开发麒麟 8 系和 9 系新平台,后者采用 N+2 工艺;蔚来将与蜂巢能源组建合资公

平台 TechInsights 发布实验室分析结论:华为麒麟 9000S 芯片基于中芯国际 7nm 级 N+2 工艺制造
2023-09-14 11:01:305049

基于中芯国际40nm车规工艺的MCU发布——Z20K11xN

Z20K11xN采用国产领先半导体生产制造工艺SMIC 车规 40nm工艺,提供LQFP48,LQFP64以及LQFP100封装,CPU主频最大支持64MHz,支持2路带64个邮箱的CAN-FD通讯接口,工作电压3.3V和5V。
2023-09-13 17:24:081073

苹果A17芯片将采用台积电3nm工艺,GPU提升可达30%

Plus、iPhone 15 Pro/Max 四款型号,全系灵动岛、USB-C 口,其中 15/Plus 将采用A16 芯片、6GB 内存,15 Pro/Max 则采用最新的 3nm 工艺 A17
2023-09-11 16:17:15727

半导体工业峰会2023

▌峰会简介第五届半导体工业峰会即将启程,现我们敬邀您莅临现场,直击智能热点,共享前沿资讯,通过意半导体核心技术,推动加快可持续发展计划,实现突破性创新~报名链接:https
2023-09-11 15:43:36

STM32 H7系列的特性和应用实例

40nm制造工艺• 2MB双区 ECC 闪存• 1MB大容量ECC RAM• 更多数据安全功能(引导、防篡改…)• 35个通信外设接口• 新一代模拟外设,包括快速16位ADC,2Msps比较器,运放• 新通信外设(TT-CAN和FD-CAN)• 高分辨率定时器(2.5ns)• 多个低功耗定时器
2023-09-11 06:22:52

IIS3DWB传感器规格书

半导体的 MEMS 传感器模块系列具有稳健成熟的制造工艺,已经用于微机械加工的加速度计和陀螺仪产品,服务于汽车、工业和消费市场。传感元件采用意半导体专门的微型机械加工工艺制造,而内嵌的 IC 接口采用
2023-09-08 07:23:26

用于高密度和高效率电源设计的半导体WBG解决方案

半导体拥有最先进的平面工艺,并且会随着G4不断改进:• 导通电阻约比G3低15%• 工作频率接近1 MHz• 成熟且稳健的工艺• 吞吐量、设计简单性、可靠性、经验…• 适用于汽车的高生产率
2023-09-08 06:33:00

STM32H5 MCU系列提升性能与信息安全性

认证并由半导体维护的安全服务实现优化成本/性能之间的平衡基于半导体经优化的40nm工艺技术极为丰富的内存、外设和封装选择
2023-09-06 06:29:56

如何将半导体环境传感器集成到Linux/Android系统

本应用笔记为将半导体环境传感器 (气压、湿度、紫外线传感器)成功集成到Linux/Android 操作系统提供指南。
2023-09-05 06:08:58

华为发布首款5nm 5G SoC,集成153亿晶体管

的NMN910 5G SoC 芯片,也被称为麒麟9000。 这款芯片集成了49亿个晶体管,尺寸为 5 纳米,成为了全球首个量产的5nm 5G SoC芯片。这是一个重要的里程碑,它意味着华为已经成为了第一个推出5nm工艺技术的芯片制造商,并且在性能方面达到了全球领先的水平。 首先我们
2023-09-01 16:47:357012

阿里平头哥发布首个 RISC-V AI 软硬全栈平台

转自https://m.ithome.com/html/714391.htm 2023 RISC-V 中国峰会8月23日在北京召开,平头哥在会上发布首个自研 RISC-V AI 平台。 据介绍,该
2023-08-26 14:14:40

晶合研发40nm OLED驱动芯片工艺

2023年8月24日,晶合集成新增“OLED”概念。
2023-08-25 09:45:18400

半导体制造工艺之光刻工艺详解

半导体制造工艺之光刻工艺详解
2023-08-24 10:38:541221

ADC框图解析

本数据表描述了台积电40nm ULP工艺中的TetraMem ADC IP。
2023-08-23 10:19:27435

苹果拒绝为3nm工艺缺陷买单 台积电3nm按良率收费!

根据外媒报道,据称台积电新的3nm制造工艺的次品率约为30%。不过根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 15:59:27780

70%!台积电3nm按良率收费!

8月8日消息,据外媒报道,台积电新的3nm制造工艺的次品率约为30%,但根据独家条款,该公司仅向苹果收取良品芯片的费用!
2023-08-08 14:13:40491

Intel自曝:3nm工艺良率、性能简直完美!

Intel将在下半年发布的Meteor Lake酷睿Ultra处理器将首次使用Intel 4制造工艺,也就是之前的7nm,但是Intel认为它能达到4nm级别的水平,所以改了名字。
2023-08-01 09:41:50561

今日看点丨传三星3纳米工艺平台第三款产品投片;vivo 推出 6nm 自研影像芯片 V3

1. 传三星3 纳米工艺平台第三款产品投片   外媒报道,尽管受NAND和DRAM市场拖累,三星电子业绩暴跌,但该公司已开始生产其第三个3nm芯片设计,产量稳定。根据该公司二季度报告,当季三星
2023-07-31 10:56:44480

电机制造工艺关键技术有哪些

电动机的技术经济指标在很大程度上与其制造材料、制造工艺有关。在电动机制造厂中,同样的设计结构,同一批原材料所制成的产品,其质量往往相差甚大。没有先进的制造工艺技术,很难生产出先进的产品。今天我们来看看电机制造中的那些关键工艺
2023-07-21 17:19:25694

AP2905TB-A3 同步降压芯片40V 0.7A-ap2905芯片规格书

供应AP2905TB-A3 同步降压芯片40V 0.7A,提供ap2905芯片规格书关键参数 ,广泛应用于 MCU控制系统和I/O电源、机顶盒、平板电视机和显示器、工业分布式电源、便携仪器等领域,更多产品手册、应用料资请向芯朋微代理商深圳市骊微电子申请。>>
2023-07-20 14:03:387

AP2905TB-A3 40V 0.7A高性能易用型同步降压稳压器-AP2905稳压芯片

供应AP2905TB-A3 40V 0.7A高性能易用型同步降压稳压器,提供AP2905稳压芯片关键参数 ,广泛应用于 MCU控制系统和I/O电源、机顶盒、平板电视机和显示器、工业分布式电源、便携仪器等领域,更多产品手册、应用料资请向芯朋微代理商深圳市骊微电子申请。>>
2023-07-20 14:02:30

英特尔全新16nm制程工艺有何优势

英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺
2023-07-15 11:32:58757

中国首个铝基轻量化平台!奇瑞这样做?

奇瑞控股集团党委书记、董事长尹同跃表示,“中国首个铝基轻量化平台发布以及奇瑞eQ7首台量产车的正式下线,是‘技术奇瑞’在汽车新能源化、智能化下半场的创新实践中,交上的一份新答卷。
2023-07-13 14:30:33375

电池保护IC是多少纳米工艺 锂电池保护板工作原理及应用案例

电池保护IC(Integrated Circuit)的纳米工艺并没有固定的规定或标准。电池保护IC的制造工艺通常与集成电路制造工艺一样,采用从较大的微米级工艺(如180nm、90nm、65nm等)逐渐进化到更先进的纳米级工艺(如45nm、28nm、14nm等)。
2023-07-11 15:42:371171

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20406

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP
2023-07-06 20:12:510

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP
2023-07-05 19:47:260

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP
2023-07-05 19:45:561

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

VIPER12AST原装VIPER12ASTR-E交流/直流转化器SOP8

深圳市三佛科技有限公司供应VIPER12AST原装VIPER12ASTR-E交流/直流转化器SOP8,原装,库存现货热销 VIPER12ASTR-E
2023-07-05 15:04:25

VIPER12,VIPER12ASTR-EST开关电源芯片

深圳市三佛科技有限公司供应VIPER12,VIPER12ASTR-EST开关电源芯片,原装现货 VIPER12ADIP-E ------DIP8VIPER12ASTR-E
2023-06-30 17:57:38

VIPER12AST交流/直流转化器IC

深圳市三佛科技有限公司供应VIPER12AST交流/直流转化器IC VIPER12ADIP-E ------DIP8VIPER12ASTR-E ------SOP8VIPer12封装
2023-06-30 17:30:08

今日看点丨小米印度公司将进行业务重组;28nm40nm?印度要求鸿海Vedanta合资晶圆厂重提申请

中,该提案正在荷兰政府进行审查。   2. 28nm40nm ?印度要求鸿海Vedanta 合资晶圆厂重提申请   据报道,鸿海集团
2023-06-30 11:08:59934

【视频教程】紫光同创PGL22G关键特性评估板@盘古22K开发板开箱教程

开箱大吉#紫光同创PGL22G关键特性评估板@盘古22K开发板 开箱教程来啦!详细教程手把手来教啦!#紫光盘古系列开发板@盘古22K开发板 基于紫光同创40nm工艺的FPGA主控芯片(Logos系列
2023-06-28 10:46:17

东芝推出TXZ+™族高级系列ARM®Cortex®-M3微控制器

东芝电子元件及存储装置株式会社(“东芝”)今日宣布,在其搭载32位微控制器产品组“TXZ+族高级系列”①的“M3H组”②中新推出“M3H组(2)”,该系列产品配备了采用40nm工艺制造而成的Cortex-M3。
2023-06-27 10:07:45241

台积电的3nm工艺价格为每片19150美元

尽管英特尔的第14代酷睿尚未发布,但第15代酷睿(代号Arrow Lake)已经曝光。新的酷睿系列产品将改为酷睿Ultra系列,并使用台积电的3nm工艺,预计会有显著的性能提升。
2023-06-20 17:48:571100

求分享NM1200和NM1330详细的数据手册

跪求新唐NM1200和NM1330详细的数据手册
2023-06-15 08:57:31

【视频】紫光同创Logos系列PGL50H关键特性评估板@盘古50K开发板#小眼睛FPGA盘古系列开发板

【视频】紫光同创Logos系列PGL50H关键特性评估板@盘古50K开发板#小眼睛FPGA盘古系列开发板@集创赛官方定制 基于紫光同创40nm工艺的FPGA(Logos系列:PGL50H-6IFBG484)关键特性评估板~
2023-06-12 18:07:15

【视频】盘古Logos系列PGL22G关键特性评估板@盘古22K开发板#紫光同创FPGA开发板

【视频】盘古Logos系列PGL22G关键特性评估板@盘古22K开发板#紫光同创FPGA开发板#基于紫光同创40nm工艺的FPGA主控芯片(Logos系列: PGL22G-MBG324),挂载
2023-06-12 17:38:43

RK3588编解码盒子之RTL8211FS-CG光口调试

本文是基于RK3588平台,SDK版本:RK3588_ANDROID12.0 RTL8211FS-CG光口调试总结。
2023-06-10 09:00:541255

揭秘半导体制程:8寸晶圆与5nm工艺的魅力与挑战

在探讨半导体行业时,我们经常会听到两个概念:晶圆尺寸和工艺节点。本文将为您解析8寸晶圆以及5nm工艺这两个重要的概念。
2023-06-06 10:44:001420

MakeSens手势识别技术与算法详解

该芯片基于40nm工艺,将会在今年二季度小规模量产,2023年三季度客户导入,2024年二季度规模出货。
2023-06-05 14:38:18291

40nm工艺

2023-05-29 12:48:49

新微半导体40V增强型氮化镓功率器件工艺平台成功量产

新微半导体40V氮化镓功率器件工艺平台拥有较大的工艺窗口,并具有良好的一致性和稳定性的工艺保障。其采用的无金工艺,RC<0.4 Ω·mm;栅极采用自对准工艺,使得栅极形貌良好,且最小线宽低至0.5µm。
2023-05-24 16:24:051698

爱立信携手特利亚电信开通波罗的海地区首个企业5G专网

近日,爱立信携手特利亚电信,开通波罗的海地区首个企业5G专网——位于爱立信塔林供应基地。
2023-05-19 16:49:33738

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12784

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

Cascode CG低噪放电路设计

Cascode CG stage是另一种实现低输入阻抗的电路
2023-05-18 09:38:45841

RTL8211F(I)-CG_RTL8211FD(I)-CG.P以太网收发器

Realtek RTL8211F-CG/RTL8211D-CG/RTL 8211FI-CG/RTL8211FDI-CG是一款高度集成的符合10Base-T、100Base-TX和1000Base-T
2023-05-15 10:16:5144

MLCC龙头涨价;车厂砍单芯片;积电28nm设备订单全部取消!

需求变化,积电28nm设备订单全部取消! 对于这一消息,积电方面表示,相关制程技术与时间表依客户需求及市场动向而定,目前正处说会前缄默期,不便多做评论,将于说会说明。 目前28nm工艺代工市场
2023-05-10 10:54:09

505nm、785nm、808nm、940nm激光二极管TO56 封装、 500mW 100mw

1300NM 金属封装工艺是指采用金属外壳作为封装壳体或底座,在其内部安装芯片或基板并进行键合连接,外引线通过金属-玻璃(或陶瓷)组装工艺穿过金属外壳,将内部元件的功能引出、外部电源信号等输人的一种电子
2023-05-09 11:23:07

小眼睛科技紫光盘古50K开发板

应用,曾主导开发CT数据采集系统、基于LDPC+BCH的无线通信系统、蜂群组网系统,各类图像处理系统等。 紫光盘古系列FPGA开发板, 采用紫光同创40nm工艺的FPGA(Logos系列
2023-04-26 17:19:06

PCB制造基本工艺及目前的制造水平

。   1.2BUM(积层多层板)工艺   BUM板(Build-up multilayerPCB),是以传统工艺制造刚性核心内层,并在一面或双面再积层上更高密度互连的一层或两层,最多为四层,见图1所示。BUM板
2023-04-25 17:00:25

虹科技术|半导体制造工艺中的UV-LED光源

半导体行业借助紫外光谱范围(i 线:365 nm、h线:405 nm和g线:436 nm)中的高功率辐射在各种光刻、曝光和显影工艺中创建复杂的微观结构
2023-04-24 11:23:281480

【揭秘】紫光盘古系列:盘古50K开发板(集创赛官方定制)

的最小系统运行及高速数据处理和存储的功能。FPGA选用的是紫光同创40nm工艺的FPGA (logos系列:PGL5OH-61FBG484),PGL5OH和DDR3之间的数据交互时钟频率最高到
2023-04-19 11:45:57

UPC2933A,2905A 数据表(R03DS0029EJ0400_REGULATOR)

UPC2933A,2905A 数据表 (R03DS0029EJ0400_REGULATOR)
2023-04-17 19:32:180

华虹半导体拟成立12英寸晶圆制造合营企业

公司将从事集成电路及采用 65/55nm40nm 工艺的 12 英寸晶圆的制造及销售。据介绍,此次设立
2023-04-17 17:35:53499

45nm工艺直跃2nm工艺,日本芯片工艺凭什么?

搞定2nm工艺需要至少3方面的突破,一个是技术,一个是资金,一个是市场,在技术上日本是指望跟美国的IBM公司合作,后者前两年就演示过2nm工艺,但IBM的2nm工艺还停留在实验室级别,距离量产要很远。
2023-04-14 10:24:55507

如何解决PCB制造中的HDI工艺内层涨缩对位问题呢?

如何解决PCB制造中的HDI工艺内层涨缩对位问题呢?
2023-04-06 15:45:50

2N2905 PBFREE

2N2905 PBFREE
2023-03-29 22:46:06

已全部加载完成