0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > verilog

verilog

+关注28人关注

Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

文章:680 浏览:109319 帖子:911

verilog技术

Verilog中跨模块调用的两种不同方式的优缺点讨论

Verilog中跨模块调用的两种不同方式的优缺点讨论

在写Verilog TestBench,为了更方便更抽象地对底层模块内部的信号进行控制,经常会使用到跨模块调用的方式,这个就叫做Cross Module...

2023-06-06 标签:VerilogDUT 3403 0

systemverilog中的assertion用法整理

systemverilog中的assertion用法整理

时序电路讲究建立时间、保持时间需要留有裕量。这也说明了,在电路中信号的顺序以及之间的时序关系十分重要。

2023-06-06 标签:Verilog时序电路VCS 2356 0

使用VCS观察Verilog二维数组仿真值的方法

使用VCS观察Verilog二维数组仿真值的方法

FIR设计过程中,不可避免使用到reg/wire的二维数组;

2023-06-06 标签:FIRVerilogVCS 2408 0

边沿检测电路设计

边沿检测电路设计

对于8位向量中的每个位,检测输入信号何时从一个时钟周期的0变为下一个时钟周期的1(类似于上升沿检测)。应在从0到1的跳变发生后的周期内设置输出位。

2023-06-05 标签:检测电路Verilog状态机 584 0

verilog中的task用法介绍

任务就是一段封装在“task-endtask”之间的程序。任务是通过调用来执行的,而且只有在调用时才执行

2023-06-05 标签:寄存器Verilog全加器 990 0

SystemVerilog实用知识点:覆盖率之Function Coverage

SystemVerilog实用知识点:覆盖率之Function Coverage

SystemVerilog是一名芯片验证工程师,必须掌握的一门语言,其中Function Coverage是必须要懂的知识点之一;

2023-06-04 标签:Verilog时钟DUT 4153 0

数字设计笔试Verilog手撕代码—累加器

数字设计笔试Verilog手撕代码—累加器

实现累加器的加法器例化的个数。按照原文大佬的设计方法,因为数据连续且加法器的延迟周期是2,使用使用一个实现累加,会有一半的数据丢失。

2023-06-02 标签:寄存器Verilog加法器 1809 0

介绍Verilog的2大类时序控制方法

介绍Verilog的2大类时序控制方法

Verilog 提供了 2 大类时序控制方法:时延控制和事件控制。事件控制主要分为边沿触发事件控制与电平敏感事件控制。

2023-06-02 标签:Verilog触发器时序控制器 680 0

在Verilog中利用函数将重复性的行为级设计进行提取

在Verilog中利用函数将重复性的行为级设计进行提取

在 Verilog 中,可以利用任务(关键字为 task)或函数(关键字为 function),将重复性的行为级设计进行提取,并在多个地方调用,来避免重...

2023-06-02 标签:寄存器Verilog数码管 869 0

Verilog仿真激励举例

Verilog仿真激励举例

Verilog 代码设计完成后,还需要进行重要的步骤,即逻辑功能仿真。仿真激励文件称之为 testbench,放在各设计模块的顶层,以便对模块进行系统性...

2023-06-02 标签:寄存器VerilogC语言 1134 0

设计Verilog时为什么要避免Latch的产生呢?

设计Verilog时为什么要避免Latch的产生呢?

锁存器(Latch),是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值。仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。

2023-06-02 标签:FPGA设计寄存器Verilog 1265 0

谈谈Verilog/System Verilog和C的几种交互模式

谈谈Verilog/System Verilog和C的几种交互模式

PLI全称 Program Language Interface,程序员可以通过PLI在verilog中调用C函数,这种访问是双向的。

2023-06-01 标签:编码器VerilogTLM 938 0

Verilog任务与函数的区别

Verilog任务与函数的区别

和函数一样,任务(task)可以用来描述共同的代码段,并在模块内任意位置被调用,让代码更加的直观易读。

2023-06-01 标签:Verilog时序控制器CLK 1044 0

用Verilog函数实现一个数据大小端转换的功能

用Verilog函数实现一个数据大小端转换的功能

在 Verilog 中,可以利用任务(关键字为 task)或函数(关键字为 function),将重复性的行为级设计进行提取,并在多个地方调用,来避免重...

2023-06-01 标签:寄存器Verilog数码管 1025 0

Verilog编码风格的建议

Verilog编码风格的建议

良好的编码风格,有助于代码的阅读、调试和修改。虽然 Verilog 代码可以在保证语法正确的前提下任意编写,但是潦草的编码风格往往是一锤子买卖。

2023-06-01 标签:寄存器VerilogRTL 497 0

Verilog状态机的类型

Verilog状态机的类型

有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。

2023-06-01 标签:VerilogRTL有限状态机 1328 0

Verilog数值转换知识总结

本节主要对有符号数的十进制与二进制表示以及一些数值变换进行简单的总结。

2023-06-01 标签:二进制Verilog 659 0

Verilog中阻塞和非阻塞赋值金规

对于VerilogHDL语言中,经常在always模块中,面临两种赋值方式:阻塞赋值和非阻塞赋值。对于初学者,往往非常迷惑这两种赋值方式的用法,本章节主...

2023-06-01 标签:模块VerilogHDL 574 0

谈谈system Verilog 和C的交互

谈谈system Verilog 和C的交互

PLI全称 Program Language Interface,程序员可以通过PLI在verilog中调用C函数,这种访问是双向的。这些用户定义的系统...

2023-05-31 标签:cpuVerilogc函数 392 0

Verilog除法器设计

Verilog除法器设计

取被除数的高几位数据,位宽和除数相同(实例中是 3bit 数据)。

2023-05-30 标签:寄存器Verilog除法器 820 0

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(28人)

jf_37386364 jf_03796617 jf_62405505 jf_33060107 jf_45248248 droprise jf_42740451 wowowo1 xiaonainai zhayhgaaa 476530 jf_20786649

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题