0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > fifo

fifo

+关注3人关注

First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。

文章:243 浏览:43069 帖子:733

fifo技术

FIFO漫谈之异步FIFO空满信号的产生位置

FIFO漫谈之异步FIFO空满信号的产生位置

格雷码的事聊完了,后面顺理成章的就是读写通路模块的设计。不过在读写控制通路之前还要明确下另一个问题,就是空满信号的产生位置的事情。

2024-03-19 标签:fifo格雷码时钟域 188 0

为什么格雷码可以辅助解决多bit跨时钟域的问题​?求解

为什么格雷码可以辅助解决多bit跨时钟域的问题​?求解

单bit通过两级同步打拍可以有效的解决亚稳态问题。

2024-03-08 标签:二进制fifoRTL 621 0

如何从SD卡读取音频文件并将其输出到扬声器上?

如何从SD卡读取音频文件并将其输出到扬声器上?

在上一篇教程中,创建了一个 I2S 发送器用来发送来从FPGA内部 ROM 的音频数据。下一步,我们向该 I2S 发送器添加 AXI-Stream 接口...

2024-01-22 标签:音频扬声器SD卡 1214 0

跨时钟域的解决方案

跨时钟域的解决方案

在很久之前便陆续谈过亚稳态,FIFO,复位的设计。本次亦安做一个简单的总结,从宏观上给大家展示跨时钟域的解决方案。

2024-01-08 标签:FPGA设计fifoCDC 381 0

谈一谈FIFO的深度

谈一谈FIFO的深度

最近加的群里面有些萌新在进行讨论**FIFO的深度**的时候,觉得 **FIFO的深度计算比较难以理解** 。所

2023-11-28 标签:IC设计fifo时钟源 442 0

如果IP已经采用OOC综合那么是否可以将其修改为Global综合方式?

如果IP已经采用OOC综合那么是否可以将其修改为Global综合方式?

相比于Project模式,Vivado Non-Project模式可以提供用户更多的控制权,进而用户可以自主管理整个编译流程

2023-11-16 标签:fifoCLKVivado 454 0

基于STM32F407的FreeRTOS学习笔记(5)

基于STM32F407的FreeRTOS学习笔记(5)

在数据结构中有一种很重要的数据结构叫做队列,其特点是数据先进先出。在FreeRTOS中也有一类队列,我们利用这类队列在FreeRTOS中实现任务与任务间...

2023-11-07 标签:fifoFreeRTOS数据结构 379 0

如何使用硬件FIFO来减少接收中断次数

如何使用硬件FIFO来减少接收中断次数

本文给介绍如何使用带FIFO的串口来减少接收中断次数,通过一种自定义通讯协议格式,给出帧打包方法;之后介绍一种特殊的串口数据发送方法,可在避免使用串口发...

2023-11-06 标签:单片机fifo定时器 277 0

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?复位信号有效长度不够,接口时序不匹配,可看下面这篇文章。 本文将介绍: 非DFX工程如何确保异步FIFO自带的set_max...

2023-11-02 标签:fpgaFPGA设计fifo 568 0

异步FIFO设计之格雷码

异步FIFO设计之格雷码

相邻的格雷码只有1bit的差异,因此格雷码常常用于异步fifo设计中,保证afifo的读地址(或写地址)被写时钟(或读时钟)采样时最多只有1bit发生跳变。

2023-11-01 标签:fifo格雷码异步FIFO 847 0

LogiCORE IP AXI4-Stream FIFO内核解决方案

LogiCORE IP AXI4-Stream FIFO内核解决方案

LogiCORE IP AXI4-Stream FIFO内核允许以内存映射方式访问一个AXI4-Stream接口。该内核可用于与AXI4-Stream ...

2023-09-25 标签:内核fifodma 600 0

CRYP加密处理器简介、主要特性及功能说明

CRYP加密处理器简介、主要特性及功能说明

MCU加/解密可分为对称加/解密、非对称加/解密、以及HASH算法,以上加/解密算法均可通过CAVP FIPS认证,用于各类安全相关应用。其中,包含DE...

2023-09-22 标签:处理器mcufifo 1569 0

跨时钟域类型介绍 同步FIFO和异步FIFO的架构设计

跨时钟域类型介绍 同步FIFO和异步FIFO的架构设计

在《时钟与复位》一文中已经解释了亚稳态的含义以及亚稳态存在的危害。在单时钟系统中,亚稳态出现的概率非常低,采用同步设计基本可以规避风险。但在实际应用中,...

2023-09-19 标签:fifo时钟时钟域 959 0

亚稳态理论知识 如何减少亚稳态

亚稳态理论知识 如何减少亚稳态

亚稳态(Metastability)是由于输入信号违反了触发器的建立时间(Setup time)或保持时间(Hold time)而产生的。建立时间是指在...

2023-09-19 标签:TTLfifo数字电路 437 0

异步FIFO相关面试问题

异步FIFO相关面试问题

又到了一年一度的招聘季节,有粉丝私信问了一个问题,一个关于以前流传出来的大厂面试的题目,个人觉得算是比较经典的题目,也是工作中经常遇到的一个问题,所以准...

2023-10-01 标签:fifo信号时钟域 386 0

单片机串口发送数据很慢?这种方法帮助你提高!

单片机串口发送数据很慢?这种方法帮助你提高!

单片机串口发送数据很慢?这种方法帮助你提高!

2023-11-02 标签:单片机fifo串口 1007 0

单片机自定义协议FIFO高效发送数据方法

单片机自定义协议FIFO高效发送数据方法

单片机自定义协议FIFO高效发送数据方法

2023-09-28 标签:cpufifo串口 506 0

多核SoC的系统结构设计

多核SoC的系统结构设计

一直以来,一个通用处理器加上硬件逻辑是SoC设计的主流结构。

2023-09-18 标签:处理器内核soc 1912 0

等效时间采样原理及基于FPGA的实现

等效时间采样原理及基于FPGA的实现

经常涉及对宽带模拟信号进行数据采集和存储,以便计算机进一步进行数据处理。为了对高速模拟信号进行不失真采集,根据奈奎斯特定理, 采样频率必须为信号频率的2...

2023-09-15 标签:fpgaadc数据采集 1176 0

采用格雷码异步FIFO跟标准FIFO有什么区别

采用格雷码异步FIFO跟标准FIFO有什么区别

异步FIFO包含"读"和"写“两个部分,写操作和读操作在不同的时钟域中执行,这意味着Write_Clk和Read_Clk的...

2023-09-14 标签:fifo信号时钟域 592 0

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(3人)

一只想飞的猪 W1Z1 小可_0ec

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题