0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>

HDL语言及源代码

电子发烧友网HDL语言及源代码栏目提供全球完整的HDL语言,Verilog HDL,AHDL,VHDL,HDL源代码等FPGA设计技术所需的所有最新行业新闻、产品信息及技术热点方案。

如何在Rust中使用Memcached

Memcached是一种高性能、分布式的内存对象缓存系统,可用于加速动态Web应用程序。Rust是一种系统级编程语言,具有内存安全、高性能和并发性等特点。Rust语言的Memcached库提供了Memcached协议的实...

2023-09-19 标签:MemcachedRust应用程序缓存编程语言 725

什么是Tokio模块 Channel?

Rust 语言是一种系统级编程语言,它具有强类型和内存安全性。Rust 语言中的 Tokio 模块是一个异步编程库,它提供了一种高效的方式来处理异步任务。其中,channel 是 Tokio 模块中的一个重要组成...

2023-09-19 标签:ChannelTokio内存数据模块编程语言 557

MLC-LLM的编译部署流程

MLC-LLM的编译部署流程

0x0. 前言 我的 ChatRWKV 学习笔记和使用指南 这篇文章是学习RWKV的第一步,然后学习了一下之后决定自己应该做一些什么。所以就在RWKV社区看到了这个将RWKV World系列模型通过MLC-LLM部署在各种硬件...

2023-09-04 标签:LLM模型编译 1139

晶心科技A25内核及AE350外设子系统成功集成到高云半导体的GW5AST-138FPGA 中

2023 年 8 月 29 日,RISC-V 联盟成员,业内知名的高性能低功耗 32/64-bit RISC-V 内核供应商晶心科技宣布其 A25 内核及 AE350 外设子系统成功集成到高云半导体的 GW5AST-138 FPGA 中。这是首次完整的 RISC...

2023-08-30 标签:fpga晶心科技软件开发高云半导体 1229

LLMEngine下一层级的模块内如何实现各自功能接口

LLMEngine下一层级的模块内如何实现各自功能接口

最近业余时间在看新番vLLM,在读源码过程中,对其显存管理原理有了清晰的认识。vLLM系统主要是基于python+cuda实现的,很多其他python项目实现都很混乱(各种重复代码、语意不明/模糊的抽象设...

2023-08-21 标签:GPTpython接口模块模型 889

嵌入式内存管理介绍

嵌入式内存管理介绍

    任何程序运行起来都需要分配内存空间存放该进程的资源信息的,C程序也不例外。C程序中的变量、常量、函数、代码等等的信息所存放的区域都有所不同,不同的区域又有不同的特性。...

2023-08-17 标签:C语言代码内存管理嵌入式数据 809

如何解决引入注册机制问题

问题描述     在使用定时器的过程中最令人苦恼的就是,定义flag,holdtime,每用一次定义就会导致中断函数中标志位满天飞,时间变量在程序中随处可见。在想要移植,又不敢随便删除。程序...

2023-08-16 标签:代码函数定时器注册相机 361

爱普特微电子推出全新升级版烧录器

爱普特微电子推出全新升级版烧录器

烧录器是MCU研发过程不可或缺的工具、硬件设备。近日,爱普特微电子推出全新升级版烧录器——WD02S、WD02mini。该烧录器高度集成,操作简单,可以快速实现MCU的编程、测试等任务,具有超高...

2023-08-11 标签:mcuRISC-V烧录器爱普特微电子程序代码 681

为什么你根本学不下去TypeScript?

我将从一个相对简单的 API 的设计过程中阐述关于类型的故事。在这里我们可以假设我们现在是一个工具的开发者,然后我们需要设计一个 API 用于从对象中拿取指定的一些 key 作为一个新的对象...

2023-08-11 标签:javascriptjava接口JAVA语言 640

基于Docker提供内置的DNS服务器

基于Docker提供内置的DNS服务器

DNS简介 DNS服务是域名系统的缩写, 英文全称:Domain Name System,将域名和IP地址相互映射。在容器环境中,DNS至关重要,例如在Kubernetes集群中,通常一组Pod由一个Service负载,但是Service的IP地址有...

2023-08-08 标签:DNS服务器Dockerip地址容器 772

OpenPCDet环境配置

OpenPCDet环境配置

00    实验环境 ·  Ubuntu 16.04 ·  RTX 2080 ·  CUDA 10.1 01   安装步骤 1.1 安装之前 1.1.1 验证cmake版本   cmake --version   cmake版本要大于等于1.13,不然后边的库的setup过程会报错。不要看网上的博客...

2023-08-07 标签:三维可视化系统虚拟环境 726

linux显示当前目录命令

linux显示当前目录命令

  一、uname:显示系统信息  1、uname -a #显示系统所有相关信息   2、uname -m #显示计算器的硬件架构  3、uname -n #显示主机名称  4、uname -r #显示内核发行版本号  5、uname -s #显示内核名称  6、...

2023-08-07 标签:Linux命令硬件计算器 978

图像的几种常见的灰度是什么

图像的几种常见的灰度是什么

灰度变换也被称为图像的点运算(只针对图像的某一像素点)是所有图像处理技术中最简单的技术,其变换形式如下: 其中,T是灰度变换函数;r是变换前的灰度;s是变换后的像素。 图像灰度...

2023-08-07 标签:函数图像图像处理技术频谱 893

中断机制出现的前因后果有哪些

中断机制出现的前因后果有哪些

中断的历史原因 在聊中断机制之前,我想先和大家聊一聊中断机制出现的前因后果。最一开始计算机操作系统的设计是能够一次性的执行所有的计算任务的,这被称为顺序执行,也是 批处理操...

2023-08-07 标签:代码操作系统机制计算机 642

aigc是什么意思啊 aigc和chatGPT的区别

aigc是什么意思啊 “AIGC"通常指的是"Artificial Intelligence Global Community”,即人工智能全球社区的缩写。AIGC是一个旨在促进全球人工智能产业发展的非盈利性组织。它致力于推动人工智能技...

2023-08-03 标签:AIGCChatGPT人工智能模型自然语言 3894

神经网络模型用于解决什么样的问题 神经网络模型有哪些

神经网络模型是一种机器学习模型,可以用于解决各种问题,尤其是在自然语言处理领域中,应用十分广泛。具体来说,神经网络模型可以用于以下几个方面: 语言模型建模:神经网络模型可...

2023-08-03 标签:机器学习神经网络神经网络模型编码器自然语言 2540

低质量图像的生成与增强的区别 图像生成领域中存在的难点

低质量图像的生成与增强的区别 图像生成领域中存在的难点

1. 论文信息   2. 引言   这篇论文的研究背景是图像生成领域中存在的一个难点 - 如何从低质量的图像中恢复高质量的细节信息。这对很多下游应用如监控视频分析等都是非常重要的。现有的图...

2023-08-03 标签:函数图像生成模型滤波器 862

Vivado仿真器和代码覆盖率简析

Vivado仿真器和代码覆盖率简析

编写 HDL 通常是 FPGA 开发中耗时最少的部分,最具挑战性和最耗时的部分可能是验证。根据最终应用程序,验证可能非常简单,也可能非常复杂,简单的话只需对大多数功能进行检查或执行完全...

2023-08-03 标签:FPGA开发板HDL语言RTLVivado仿真器 1083

spinalhdl转Verilog可读性 SpinalHDL开发流程

spinalhdl转Verilog可读性 SpinalHDL开发流程

SpinalHDL是基于Scala全新的硬件描述语言,解决了不少Verilog等传统HDL语言的痛点,可以快速的完成某些IP的开发,和完美的融入现有的开发流程。 诚然SpinalHDL的学习路线是比较陡峭的。另外在团队...

2023-07-27 标签:Verilog开发 621

基于HLS之任务级并行编程

基于HLS之任务级并行编程

  HLS任务级编程第一篇文章可看这里: HLS之任务级并行编程 HLS的任务级并行性(Task-level Parallelism)分为两种:一种是控制驱动型;一种是数据驱动型。对于控制驱动型,用户要手工添加DATAF...

2023-07-27 标签:HLS函数编程 651

Vivado设计套件助力快速编译设计并达到性能目标

Suhel Dhanani AMD 自适应 SoC 与 FPGA 事业部软件营销总监 在设计规模和复杂性不断增长的世界里,SoC 和 FPGA 设计需要以更低功耗提供更高性能的情况将继续在行业中存在。在 AMD,我们深知,保持领...

2023-07-12 标签:amdfpgasocVivadoXilinx开发环境编译赛灵思 531

FPGA的可重构测控系统应用设计的研究

本文根据测控系统的通用结构模型和FPGA的可重构功能特点,提出了一种基于FPGA器件,针对嵌入式应用有效缩短开发周期和设计与应用成本,满足并行性、多任务、开放化和集成化要求的RMS的平...

2023-08-25 标签:fpga接口测控系统虚拟仪器软硬件 305

Xilinx RapidIO核例子工程源码分析

Xilinx RapidIO核例子工程源码分析

srio_quick_start模块在顶层srio_example_top.v中例化,它与IP核的维护端口相连用来产成维护事务,维护事务在maintenance_list.vh中进行定义,用户可以根据需要编辑maintenance_list.vh文件来添加,修改和移除...

2023-03-03 标签:fpgaVerilogXilinx模块源码 2262

指针进阶第五站:函数指针!

定义一个函数指针,指向的函数有两个int形参并且返回一个函数指针,返回的指针指向一个有一个int形参且返回int的函数?下面哪个是正确的?...

2022-08-17 标签:函数数组 354

基于模型设计的HDL代码自动生成技术应用需求

面对我国航天型号任务发展与需求的快速变化,空间站、深空探测、北斗导航等软件密集型系统迅速扩大,智能化、网络化需求越来越多。...

2022-07-10 标签:HDL代码模型设计编码器 786

基于Verilog的“自适应”形态学滤波算法实现

基于Verilog的“自适应”形态学滤波算法实现

一、背景介绍 基于二值图像的滤波算法即形态学滤波,在图像目标采集的预处理中经常被使用到,针对不同的使用场景涉及到腐蚀、膨胀、开闭运算等处理。实际使用中对于不同的分辨率大小...

2021-08-23 标签:FPGA设计Verilog图像处理滤波算法 2343

multiboot什么意思 MultiBoot的功能简介、流程介绍

multiboot什么意思 MultiBoot的功能简介、流程介绍

本文主要包含MultiBoot的功能简介、流程介绍和工程实现几个部分的讲解。 我们先从它的功能介绍讲起来;MultiBoot功能是大部分Xilinx FPGA可以实现的固有特性;其主要优点如下所示: 1、我们可以...

2021-08-04 标签:fpga 15472

关于modelsim里那些你不知道的常用仿真小技巧

关于modelsim里那些你不知道的常用仿真小技巧

1 信号归类 选中需要归类的信号,右击选择group,然后命名 取消group,右击group名,然后选择Ungroup 2 信号测量 有时我们需要测量modelsim仿真出来的某一段波形的仿真时长,直接使用鼠标拖拽标线...

2021-07-27 标签:ModelSim 3588

深入分析intel FPAG AES应用笔记

深入分析intel FPAG AES应用笔记

FPGA在运行期间,把配置文件存储在SRAM中。SRAM是易失性的。掉电之后会丢失,所以FPGA每次上电都要从外部的Flash中加载数据到FPGA。这样在加载时Flash中的数据很有可能被拦截,被拦截的数据如果...

2021-07-27 标签:FPAG 2337

基于XilinxCoolRunnerTM-II型CPLD实现射频读卡器的设计

基于XilinxCoolRunnerTM-II型CPLD实现射频读卡器的设计

Xilinx还针对Monta Vista Linux和 Treck堆栈提供了发射性能基准。采用 Xilinx Platform Studio (XPS)微处理器库定义的Nucleus PLUS RTOS,为采用MicroBlaze和PowerPC处理器的系统带来了新的优势。Nucleus PLUS RTOS尺寸...

2021-06-18 标签:cpld射频读卡器 2383

编辑推荐厂商产品技术软件/工具OS/语言教程专题