0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado设计套件助力快速编译设计并达到性能目标

Xilinx赛灵思官微 来源:AMD 作者:AMD 2023-07-12 08:15 次阅读

SuhelDhanani

AMD 自适应 SoC 与 FPGA 事业部软件营销总监

在设计规模和复杂性不断增长的世界里,SoC 和 FPGA 设计需要以更低功耗提供更高性能的情况将继续在行业中存在。在 AMD,我们深知,保持领先意味着需要找到更为有效的方法,以此优化设计来实现最高性能。

AMD Vivado 设计套件是业界领先的由机器学习提供支持的电子设计自动化工具。这一高性能开发环境可为硬件开发人员及系统架构师提供系统设计、集成和实现的巨大优势,不仅可优化设计周期,而且还能带来更好的结果。

事实上,最新版本 Vivado 设计套件 2023.1 将 Versal 自适应 SoC的结果质量( QoR )平均提高了 8%(注释1),将 UltraScale+ 自适应 SoC 和 FPGA 的结果质量平均提高 13%(注释2)。很高兴今天能进一步分享这款智能设计工具。

快速编译,提高生产力

更快的编译对于硬件开发人员高效处理复杂设计至关重要。为了加速流程的各个环节,Vivado 设计套件为综合、布局、布线、物理优化和设计收敛提供了卓越的编译速度。

特别是,我们还开发了一个可缩短编译时间并减少内存开销的独特功能:Abstract Shell(抽象外壳)。Abstract Shell 能围绕可重新配置分区创建仅限于最小接口的静态设计检查点。由于存在检查点,因此每次迭代只编译一小部分设计即可。换言之,AbstractShell 无需对整个设计进行重新编译,其具有巨大的编译时间优势。

除此之外,借助 AbstractShell,无论团队身处何处,都可同时进行一项设计。该功能可在无需共享专有数据的情况下向多个用户提供应用场景,从而实现协作设计环境。这可为复杂设计带来高生产率、快速优化以及强大的安全功能。

以更少迭代带来优异的结果

随着复杂性的提升,出现与性能相关的挑战的可能性就越大。当今的硬件开发人员需要高级工具来高效解决问题并实现性能目标。

我可以很自豪地说,Vivado 设计套件是一款使用独特机器学习算法实现智能设计运行的设计软件。这一功能可帮助开发人员以更少的设计迭代实现更高的 QoR。

智能设计运行分为三个阶段:设计优化、工具选项探索以及“最后一英里”时序收敛。简单来说,该功能可通过自动计算设计分数(达到时序收敛的可能性)并分析影响性能的问题来节省时间,减少工作量。智能设计运行基于超过 10 万组训练数据从 60 多种专有定制策略中提取,可生成流程和方法指南以及基于机器学习的建议,逐步完成各个阶段,直至达到性能目标。

Versal 器件的精确功耗估算

在设计周期早期,硬件开发人员需要精确估算功耗,以免浪费工作,并朝着满足系统需求的道路迈进。

回到 Vivado 设计套件 2022.2,我们推出了新一代功耗估算工具:电源设计管理器(PowerDesignManager)。该工具针对稳定性和准确性精心构建,特别适合带硬 IP 块的大型器件。

电源设计管理器针对 Versal 器件的关键硬化 IP 提供了易于使用的界面和增强向导。此外,该工具还采用最新表征模型确保目标器件的功耗估算准确性,其可通过改善与热能及供电有关的约束帮助平台面向未来做好准备。

借助 Vivado 设计套件 2023.1,我们将电源设计管理器支持扩展至更多器件。这些器件目前包括 Versal HBM 系列,该系列集成了快速内存、连接安全功能以及自适应计算,有助于为内存受限的计算密集型工作负载(如机器学习、数据库加速以及下一代防火墙等)消除处理及瓶颈问题。

下载最新 Vivado 设计套件

现在,硬件开发人员和系统架构师比以往任何时候都更需要高级设计工具来满足大型、复杂的自适应 SoC 及 FPGA 设计的功耗及性能需求。

利用 AMD 自适应器件以及机器学习提供支持的 Vivado 设计套件,开发人员可以从一开始就通过缩短增量编译时间、减少设计迭代次数和精确的功耗估算来优化获得高质量结果的途径。

欢迎进一步了解最新版本的新增功能,并下载 Vivado 设计套件。

注释(上下滑动查看)

1.在 2023 年 3 月 26 日之前,AMD Vivado 工程团队对使用 AMD Vivado ML 软件工具 2023.1 版运行智能设计运行 (IDR) 模式和运行默认模式的 45 个客户的 AMD Versal 设计进行了测试。结果反映了单次测量运行的所有设计以及平均差异和计算差异。实际结果会因具体设计、系统配置和软件版本等因素而异。VIV-003

2.在 2023 年 4 月 14 日之前,AMD Vivado 工程团队针对使用 AMD Vivado ML 软件工具 2023.1 版运行智能设计运行 (IDR) 模式和运行默认模式的 50 个客户的 AMD Virtex UltraScale+ 设计进行了测试。结果反映了单次测量运行的所有设计以及平均差异和计算差异。实际结果会因具体设计、系统配置和软件版本等因素而异。VIV-004

2023 年超威半导体公司版权所有。保留所有权利。AMD、AMD 箭头标识、UltraScale+、Vivado、Versal、Virtex 及其组合均是超威半导体公司的商标。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593264
  • amd
    amd
    +关注

    关注

    25

    文章

    5202

    浏览量

    132638
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130540
  • soc
    soc
    +关注

    关注

    38

    文章

    3750

    浏览量

    215709
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119388
  • 开发环境
    +关注

    关注

    1

    文章

    203

    浏览量

    16461
  • 编译
    +关注

    关注

    0

    文章

    615

    浏览量

    32397
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107

原文标题:Vivado 设计套件助力快速编译设计并达到性能目标

文章出处:【微信号:赛灵思,微信公众号:Xilinx赛灵思官微】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    赛灵思客户共贺Vivado 设计套件推出

    赛灵思推出的 Vivado 设计套件和 Virtex-7 FPGA,使 EVE 等标准 FPGA 仿真供应商在产品性能和功能方面全面超越定制 ASIC 仿真供应商
    发表于 04-25 09:10 1446次阅读

    Vivado设计套件——可编程颠覆之作

    电子发烧友网核心提示: 赛灵思称为可编程颠覆之作Vivado设计套件于4月25日震撼登场。Vivado是赛灵思最新推出的、面向未来十年、替换ISE的设计套件。本文主要给大家介绍
    发表于 10-18 13:43 2977次阅读

    Vivado设计套件快速入门视频辅导资料

    Vivado®  设计套件快速入门视频辅导资料为您提高生产力提供了实时的特定功能和流程培训。新主题包括: .    使用  System Generator for DSP  和  IP
    发表于 02-09 02:22 261次阅读

    vivado设计套件资料

    vivado设计套件资料
    发表于 10-31 09:49 44次下载
    <b class='flag-5'>vivado</b>设计<b class='flag-5'>套件</b>资料

    了解Vivado设计套件集成能力的九大理由分析

    理由一:突破器件密度极限:在单个器件中更快速集成更多功能;理由二:Vivado以可预测的结果提供稳健可靠的性能和低功耗;理由三:Vivado设计套件
    发表于 11-22 08:15 1479次阅读

    如何让Vivado IP Integrator和Amazon F1开发套件进行协同使用

    欢迎阅读本快速视频,我将解释如何使用Vivado IP Integrator流程与Amazon F1硬件开发套件或HDK配合使用
    的头像 发表于 11-20 06:35 2242次阅读

    Vivado 2015.3中的新增量编译功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-29 06:32 3388次阅读

    如何使用Vivado设计套件配合Xilinx评估板的设计

    了解如何使用Vivado设计套件的电路板感知功能快速配置和实施针对Xilinx评估板的设计。
    的头像 发表于 11-26 06:03 3109次阅读

    Vivado 2015.3的新增量编译功能

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-30 19:24 4295次阅读

    如何使用Vivado 开发套件创建硬件工程

    本文主要介绍如何使用Vivado 开发套件创建硬件工程。
    的头像 发表于 02-08 10:41 1048次阅读
    如何使用<b class='flag-5'>Vivado</b> 开发<b class='flag-5'>套件</b>创建硬件工程

    目标套件 S5D3(TB-S5D3) 快速入门指南

    目标套件 S5D3 (TB-S5D3) 快速入门指南
    发表于 03-15 20:05 0次下载
    <b class='flag-5'>目标</b>板<b class='flag-5'>套件</b> S5D3(TB-S5D3) <b class='flag-5'>快速</b>入门指南

    目标套件 S5D3(TB-S5D3) 快速入门指南

    目标套件 S5D3 (TB-S5D3) 快速入门指南
    发表于 07-06 19:14 0次下载
    <b class='flag-5'>目标</b>板<b class='flag-5'>套件</b> S5D3(TB-S5D3) <b class='flag-5'>快速</b>入门指南

    Vivado设计套件Tcl命令参考指南

    电子发烧友网站提供《Vivado设计套件Tcl命令参考指南.pdf》资料免费下载
    发表于 09-14 10:23 1次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>套件</b>Tcl命令参考指南

    Vivado设计套件用户指南

    电子发烧友网站提供《Vivado设计套件用户指南.pdf》资料免费下载
    发表于 09-14 09:55 2次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>套件</b>用户指南

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 6次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>套件</b>用户:使用<b class='flag-5'>Vivado</b> IDE的指南