0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AMD自适应计算加速平台之GTYP收发器误码率测试IBERT实验(6)

ALINX 来源:ALINX 2024-04-12 16:22 次阅读

实验VIvado工程为“ibert_test”,目录中还有一个“ibert_ex”,是生成的测试工程。

Vidado软件为我们提供了强大的误码率测试器IBERT,不但可以测试误码率还能测试眼图,给我们使用高速收发器带来很大的便利,本实验做个抛砖引玉,简单介绍IBERT的使用。

1.1硬件介绍

使用IBERT测试误码率和眼图必须有个收发环通的硬件,开发板上有2个SFP光纤接口,本实验把2个光接口收发两两连接,形成2个收发环通链路。

1.2Vivado工程建立

1)新建一个工程名为“ibert_test”

2)在“IP Catalog”中搜索“gt”快速找到“Versal ACAPs Transceivers Wizard”,双击

6213d3b4-f8a5-11ee-a297-92fbcf53809c.png

3)“Component Name”改为”ibert”,并修改preset为“Aurora 64B/66B”

623491a8-f8a5-11ee-a297-92fbcf53809c.png

4)点击Transceiver Configs Protocol 0,配置发送和接收参数,点击OK

62468ea8-f8a5-11ee-a297-92fbcf53809c.png

62620192-f8a5-11ee-a297-92fbcf53809c.png

62761c68-f8a5-11ee-a297-92fbcf53809c.png

5)点击Generate

62ab250c-f8a5-11ee-a297-92fbcf53809c.png

6)右键“Open IP Example Design...”,选择example工程路径

62bbdf82-f8a5-11ee-a297-92fbcf53809c.png

62cdf0d2-f8a5-11ee-a297-92fbcf53809c.png

7)添加buffer连接到apb3clk

62d76c66-f8a5-11ee-a297-92fbcf53809c.png

8)添加反向器连接到复位

6381648c-f8a5-11ee-a297-92fbcf53809c.png

9)其他一些信号配置为常数0

639b621a-f8a5-11ee-a297-92fbcf53809c.png

10)删除输出信号

63aef000-f8a5-11ee-a297-92fbcf53809c.png

11)配置sfp_disable为0

63c317d8-f8a5-11ee-a297-92fbcf53809c.png

12)将CIPS改成PL Subsystem

63e401e6-f8a5-11ee-a297-92fbcf53809c.png

13)约束引脚

63ededfa-f8a5-11ee-a297-92fbcf53809c.png

14)生成pdi文件

64044848-f8a5-11ee-a297-92fbcf53809c.png

1.3下载调试

1)插入光模块,然后使用光纤将2个光口对接,连接好JTAG下载线,给开发板上电

6411fe8e-f8a5-11ee-a297-92fbcf53809c.png

2)使用JTAG下载BIT文件到开发板,可以看到速度接近10.3125Gbps。

643c26aa-f8a5-11ee-a297-92fbcf53809c.png

3)选择IBERT,右键,选择“Create Links”

64516cae-f8a5-11ee-a297-92fbcf53809c.png

参考原理图,光纤连接到了Quad104的CH0和CH1,选择Link 0为Quad_104 CH_0 TX和CH1 RX对应,Link 1为Quad_104 CH_1 TX和CH0 RX对应

6465299c-f8a5-11ee-a297-92fbcf53809c.png

4)修改配置,码流选择PRBS 31,Loopback配置成None

647d1ec6-f8a5-11ee-a297-92fbcf53809c.png

5)配置完,可以点击BERT Reset,可以看到Errors都是0,重新开始测试。

648f7b0c-f8a5-11ee-a297-92fbcf53809c.png

6)选择一个链路,右键“Create Scan...”

64a14990-f8a5-11ee-a297-92fbcf53809c.png

64b1ceaa-f8a5-11ee-a297-92fbcf53809c.png

7)默认配置出来的眼图,注意:使用不同的软件版本,测量眼图可能会有差异。

64c47f96-f8a5-11ee-a297-92fbcf53809c.png



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 收发器
    +关注

    关注

    10

    文章

    2975

    浏览量

    104793
  • amd
    amd
    +关注

    关注

    25

    文章

    5202

    浏览量

    132638
  • SFP
    SFP
    +关注

    关注

    3

    文章

    119

    浏览量

    34999
  • JTAG
    +关注

    关注

    6

    文章

    383

    浏览量

    71151
  • 光模块
    +关注

    关注

    71

    文章

    1136

    浏览量

    58108
  • 光纤接口
    +关注

    关注

    0

    文章

    19

    浏览量

    8865

原文标题:【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之GTYP收发器误码率测试IBERT实验(6)

文章出处:【微信号:ALINX,微信公众号:ALINX】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    是德科技全新多通道比特误码率测试

    德科技公司(NYSE:KEYS)今天宣布推出一款基于 14 插槽 AXIe 主机的多通道 比特误码率测试仪解决方案,适用于多路测试。最新比特误码率
    发表于 01-19 11:23 1535次阅读

    【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之准备工作(1)

    AMD Versal AI Edge 自适应计算加速平台之准备工作,包含软件环境、硬件环境。
    的头像 发表于 03-07 15:49 308次阅读
    【ALINX 技术分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自适应计算</b><b class='flag-5'>加速</b><b class='flag-5'>平台</b>之准备工作(1)

    【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之 Versal 介绍(2)

    【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之 Versal 介绍,以及Versal 芯片开发流程的简介。
    的头像 发表于 03-07 16:03 317次阅读
    【ALINX 技术分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自适应计算</b><b class='flag-5'>加速</b><b class='flag-5'>平台</b>之 Versal 介绍(2)

    #fpga 利用IBERT IP核实现GTX收发器硬件误码率测试实例

    fpga收发器
    明德扬科技
    发布于 :2023年09月05日 11:32:14

    利用IBERT核对GTX收发器板级测试

    一、概述 IBERT(集成误码率测试仪)是xilinx为7系列FPGA GTX收发器设计的,用于评估和监控GTX收发器
    发表于 06-21 11:23

    误码率是怎么计算的?

    误码率是怎么计算
    发表于 11-02 07:51

    基于FPGA的误码率测试仪的设计与实现

    本文提出了一种使用FPGA 实现误码率测试的设计及实现方法。该设计可通过FPGA 内建的异步串行接口向主控计算机传递误码信息,也可以通过数码管实时显示一段时间内的
    发表于 06-26 17:32 55次下载

    IP集成式误码率测试器(IBERT)的主要性能和优势介绍

    面向 UltraScale™ 架构 GTY 收发器的可定制 LogiCORE™ IP 集成式误码率测试器 (IBERT) 核用于评估和监控 v 收发
    的头像 发表于 07-08 09:58 4714次阅读

    BER误码率 影响误码率的因素

    A 误码率基础 误码率(Bit error rate, BER)是用于评估传输数字数据的系统的关键参数。 适用于误码率的系统包括无线数据链路,以及光纤数据系统、以太网或任何通过噪声、干扰和相位抖动
    发表于 03-21 10:15 1.5w次阅读
    BER<b class='flag-5'>误码率</b> 影响<b class='flag-5'>误码率</b>的因素

    误码率是指什么_误码率是怎么表示_怎么计算

     误码的产生是由于在信号传输中,衰变改变了信号的电压,致使信号在传输中遭到破坏,产生误码。噪音、交流电或闪电造成的脉冲、传输设备故障及其他因素都会导致误码 误码率(比如传送的信号是1,
    的头像 发表于 03-08 08:59 6.1w次阅读
    <b class='flag-5'>误码率</b>是指什么_<b class='flag-5'>误码率</b>是怎么表示_怎么<b class='flag-5'>计算</b>

    关于利用IBERT核对GTX收发器板级测试的原理与过程详解

    IBERT(集成误码率测试仪)是xilinx为7系列FPGA GTX收发器设计的,用于评估和监控GTX收发器
    的头像 发表于 05-02 22:10 5722次阅读
    关于利用<b class='flag-5'>IBERT</b>核对GTX<b class='flag-5'>收发器</b>板级<b class='flag-5'>测试</b>的原理与过程详解

    关于ZC706评估板的IBERT误码率测试和眼图扫描详细分析

    IBERT(Integrated Bit ErrorRatio Tester,集成误比特率测试工具),是Xilinx提供用于调试FPGA高速串行接口比特误码率性能的工具,最常用在GT高速串行
    的头像 发表于 04-27 16:10 7189次阅读
    关于ZC706评估板的<b class='flag-5'>IBERT</b><b class='flag-5'>误码率</b><b class='flag-5'>测试</b>和眼图扫描详细分析

    利用IBERT IP核实现GTX收发器硬件误码率测试实例

    通过IBERT我们可以获取误码率,观察眼图,调节串行收发器的参数,从而有助于判断可能存在的问题,便于验证硬件的稳定性和信号完整性。
    的头像 发表于 08-14 10:14 1597次阅读
    利用<b class='flag-5'>IBERT</b> IP核实现GTX<b class='flag-5'>收发器</b>硬件<b class='flag-5'>误码率</b><b class='flag-5'>测试</b>实例

    ZC706评估板IBERT误码率测试和眼图扫描

    IBERT(Integrated Bit ErrorRatio Tester,集成误比特率测试工具),是Xilinx提供用于调试FPGA高速串行接口比特误码率性能的工具,最常用在GT高速串行
    的头像 发表于 06-21 11:29 1791次阅读
    ZC706评估板<b class='flag-5'>IBERT</b><b class='flag-5'>误码率</b><b class='flag-5'>测试</b>和眼图扫描

    Versal:首款自适应计算加速平台(ACAP)

    电子发烧友网站提供《Versal:首款自适应计算加速平台(ACAP).pdf》资料免费下载
    发表于 09-18 09:28 1次下载
    Versal:首款<b class='flag-5'>自适应计算</b><b class='flag-5'>加速</b><b class='flag-5'>平台</b>(ACAP)