电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>ModelSim SE的几个操作:open,load,import,save format

ModelSim SE的几个操作:open,load,import,save format

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

ModelSim SE 十分钟入门

ModelSim SE 十分钟入门
2012-08-20 20:33:18

ModelSim SE 十分钟入门

ModelSim SE 十分钟入门[table=98%][tr][td][table=98%][tr][td]1.ModuleSim SE 快速入门本文以ModelSim SE 5.6版本为
2012-08-12 15:07:13

ModelSim SE简明操作指南

ModelSim SE简明操作指南ModelSim SE简明操作指南(1)ModelSim SE简明操作指南第一章 介绍本指南是为ModelSim5.5f版本编写的,该版本运行于UNIX
2012-08-12 15:08:16

Modelsim SE 10.1的安装步骤

Xilinx FPGA入门连载2:Modelsim SE 10.1安装特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1安装文件拷贝
2019-04-11 06:27:11

Modelsim SE 进行时序仿真及altera库的添加 [转]

Modelsim进行时序仿真。 第一部分介绍Quartus II自动调用Modelsim进行时序仿真的操作过程;第二部分介绍altera仿真库(Verilog)的添加操作过程;第三部分简单的介绍一下
2012-02-01 11:37:40

Modelsim 仿真出错 “Module 'IBUFG' is not defined”

' is not defined”错误,不知道到底是什么问题?使用的 Modelsim 版本是:Modelsim SE 10.2Xilinx 仿真库已经编译过了,而且已经加载到了 Modelsim 中。还请大侠们多多指教,谢谢!
2015-01-26 23:17:51

Modelsim 仿真问题 “unisim” Does not exist

在使用 ISE 软件进行仿真,仿真工具是 Modelsim,提示错误,错误详情如下: > unisim (ERROR: Library path "d:/Xilinx/14.3
2015-01-19 14:12:08

SaveOpen 方法范例 (VB)

SaveOpen 方法范例 (VB)这三个范例演示如何配合使用 SaveOpen 方法。假设用户在出差时需要携带数据库中的一个表。在出发前,用户以 Recordset 访问数据,并将
2009-01-08 10:24:40

modelsim SE启动仿真的时候,软件会自动关闭

虚心请假大家了———— modelsim SE启动仿真后,软件就会自动关闭,单独运行的时候就没有什么问题。卸载重装过还是一样的问题,同样的操作在别人的软件上不会出现,应该不是代码的问题。泪奔呢。。。。。。请求高人指出解决办法,先谢谢谢谢~~~~~~
2013-06-21 19:49:48

modelsim se 10.1a-win32下载

分配一个ftp的用户名和密码,这个地址貌似过一阵子就失效了,所以我就不发了,里面版本很多,我是win7 32,下载modelsim-win32-10.1a-se.exe这个文件。  ModelSim
2019-04-23 17:23:40

modelsim se 10.1a跟modelsim se 10.1c有什么区别

刚购买的开发板,发现送的光盘里是modelsim se10.1c跟自己安装的modelsim se 10.1a不一个版本,想知道有什么区别,刚搜到ae,xe ,se,这个se通用版,但是后面尾号10.1c跟10.1a有啥区别呢?
2015-11-16 12:25:08

modelsim-altera时序仿真

各位大神,求教如何用modelsim-ase 进行时序仿真,小弟最近被这整得焦头烂额{:4:}{:4:}。希望大家不吝赐教,最好附上详细教程。不过注意,不是modelsim SE哦。小弟万分感激!
2014-01-22 15:18:52

modelsim和Quartus使用问题

Quartus 使用的是12.0版本,modelsim使用的是modelsim se-64 10.4版本,在进行仿真时,出现以下错误:Fatal: Internal Error - vopt
2019-05-01 22:09:41

modelsim电子系统分析及仿真(第二版)配套光盘

SE 10.1c版软件为平台进行讲解,在讲解过程中还提供了命令行操作和菜单操作两种操作方法,命令行操作使得讲解的知识更具扩展性。
2014-06-18 14:11:03

modelsim_SE_10.1a可用(已经破解)

本帖最后由 hainiu312 于 2012-10-2 18:40 编辑 modelsim_SE_10.1a可用(已经破解)地址如下:如果过期的话,这个是备份的。http
2012-08-19 16:20:50

FPGA基础篇(三):modelsim仿真的几个常见问题

FPGA基础篇(三):modelsim仿真的几个常见问题对于FPGA开发的流程无外乎就三步,第一编写程序,第二仿真,第三仿真结束之后下载到板子。其中仿真是必须经历的过程,对于altera的芯片来说
2017-04-15 21:46:29

Quartus 13.0和Modelsim SE 10.1a 联合仿真

本帖最后由 蓝e 于 2014-11-25 15:35 编辑 Quartus 13.0和Modelsim SE 10.1a 联合仿真 1、首先在Quartus建立工程,编写HDL文件,进行编译
2014-02-28 16:49:16

QuartusII13.0与Modelsim SE安装与破解说明(清晰)

本帖最后由 FPGA_StudyNotes 于 2016-3-22 17:00 编辑 QuartusII13.0与Modelsim SE安装与破解说明FPGA开发使用到的常用软件为
2016-03-22 15:45:03

Xilinx FPGA入门连载2:Modelsim SE 10.1安装

``Xilinx FPGA入门连载2:Modelsim SE 10.1安装特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1安装文件拷贝
2015-09-05 19:21:40

[求助]谁有ModelSim SE 6.2b的破解码呀?

谁有ModelSim SE 6.2b的破解码呀?给我传一份我将万分感激[此贴子已经被admin于2008-8-2 22:49:41编辑过]
2008-08-02 21:28:43

[问答] 求助! modelsim显示 : # wrong # args: should be "load file ?pkg? ?interp?"

求助! modelsim显示 : # wrong # args: should be "load file ?pkg? ?interp?"纯菜鸟 求遇到或者知道如何解决的同学伸手援助,谢谢了,在线等
2017-05-23 00:13:34

keras顺序模型与函数式模型

使用) # model.save(\'keras_mnist.h5\')采用model子类方法有几个问题需要注意:1. 采用model子类方法时,model.summary方法需要放到model.fit之后才能调用,否则报
2023-08-18 06:01:56

quartus 2 11.0 调用 modelsim se 10.0c

什么原因。setting 里面的设置严格按照 教程上弄的,而且搜了好多这方面的资料,setting 没发现错误。我的软件quartus 2 11.0和 modelsim se 10.0c
2014-04-12 12:13:52

quartus13.0和modelisim SE 64 10.1c联合仿真出错

在使用quartus13.0和modelisim SE 64 10.1c联合仿真时出现如图所示的错误,请大神帮忙修改。** Error: (vlog-42) Unsupported ModelSim
2016-04-12 17:22:32

quartus与Modelsim 联合仿真自动退出

:# Reading C:/altera/11.0/modelsim_ase/tcl/vsim/pref.tcl # Loading project Hello# Load canceledvsim
2015-12-06 23:52:25

win7里protel 99 se 问题解决方法

=*.NetPrompt=Load NetlistSelFileType=EditorName=AllSel File Type=WholeProject=FalseTypeCount=2Count=0[Save
2012-02-16 21:59:07

【原文步骤操作之后还有几步】为Modelsim编译xilinx和altera 元件库的那 些事(绝对是最简单的方法)

打开】二、在modelsim se的安装目录下找到modelsim.ini文件,同样用UE打开,将刚才复制内容复制到第二个打开的modelsim.ini文件的[vcom]之前的那行,也就是[library]最后如图。 三,保存文件,运行modelsim SE,会出现如图结果
2015-11-04 12:49:51

【芯航线FPGA】Modelsim问题集锦(四):You selected Modelsim-Altera as Simulation Software in EDA Tool Settings,however……

modelsim-altera路径下设定的是Tools下设置的是modelsim-se的路径,导致软件版本不匹配而报错。设置的仿真工具为modelsim-alteraModelsim-altera路径却指向
2016-01-13 13:31:16

使用Modelsim SE 10.0b模拟后置和路径模型找不到SDF文件

我想使用Modelsim SE 10.0b来模拟后置和路径模型。后置和路径模型由ISE 13.1生成。但我找不到SDF文件。你能告诉我如何找到SDF文件吗?或者13.1中有另一个格式文件。以上
2019-02-13 07:11:36

使用OPEN/SHORT/LOAD校正进行有效阻抗测量

This application note describes how to make an accurate impedance measurement by using OPEN/SHORT/LOAD correction.
2019-03-08 17:25:24

关于modelsim中有Rom读取Hex文件仿真时出现问题,请教各位攻城狮?

/convert_hex2ver.dll" failed: Bad DLL format.# # ** Error: (vsim-PLI-3002) Failed to load PLI object file
2016-01-14 10:52:23

关于can't launch the modelsim software的问题解决

(注意:这里一定要选对如果是modelsim se 就一定要选则modelsim,如果安装的是modelsim Altera ,就选择modelsim Altera)
2016-04-20 15:42:33

分享软件Modelsim se 10.1c-win64与破解

=3717639245&uk=151645193破解方法:1.运行modelsim-win64-10.1c-se.exe,可设置安装路径D:modeltech64_10.1c2.安装过程中会让选择是否
2013-07-06 17:07:43

利用ModelSim SE6.0C实现时序仿真

本文介绍的是如何利用ModelSim SE6.0C实现时序仿真,大家可以根据这个资料来进一步掌握ModelSim SE6.0C。如果选中“Maintainhierarchy”,则表示在做时序仿真
2012-03-01 11:46:29

如何通过IP链接建立到SE050ARD-E/RPI的通道?

/se050E_scp_keys.txtroot@raspberrypi:~ # ssscli se05x uidsmCom :ERROR:opening failed...Failed to open
2023-03-20 07:35:34

安富利GSM给您讲讲使用ModelSim 进行设计仿真

罗列其具体选项。1. File(文件)菜单文件菜单通常包含了对工程及文件等的操作ModelSim的文件菜单包含的命令有:New(新建),Open(打开),Close(关闭),Import(导入
2012-02-24 21:51:12

安装Modelsim SE 10.1a 破解版本

`求助求助我想安装modelsim 各位大神们谁有好的建议或者版本啊急用为什么我安装Modelsim SE 10.1a 破解版本的时候到最后就出现这个信息呢我是按着破解资料一步一步的运行的啊 求助
2015-11-03 08:24:13

小白求教关于modelsim中有Rom读取Hex文件仿真时出现问题

\convert_hex2ver.dll" failed: Bad DLL format.# # ** Error (suppressible): (vsim-PLI-3002) Failed to load PLI
2016-04-20 00:41:45

小白求教关于modelsim中有Rom读取Hex文件仿真时出现问题,求

\convert_hex2ver.dll" failed: Bad DLL format.# # ** Error (suppressible): (vsim-PLI-3002) Failed to load PLI
2016-04-20 00:40:01

最实用的Modelsim使用教程

等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF
2024-03-19 16:40:15

有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?

请问谁有有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?希望回复?谢谢
2014-11-25 10:18:00

求助! modelsim显示 : # wrong # args: should be "load file ?pkg? ?interp?"

本人刚接触Verilog,近几日在电脑中安装了modelsim,按照教程指示,我新建了工作空间和工程,以及工程下的Verilog文件,但是不能打开编辑,双击文件时都会显示: # wrong
2016-08-13 16:27:21

求教关于 modelsim 修改源代码后重新加载波形(Re_launch)的问题。

进行仿真。这个应该怎么操作?我现在的方法是关掉modelsim,然后在源文件中进行编辑,然后重新综合后,再次调用打开modelsim(这个过程很耗时间)。有没有什么方法可以在不关闭modelsim
2017-06-09 11:50:10

请问modelsim仿真出现如下问题怎么解决?

# ** Error: (vdel-42) Unsupported ModelSim library format for "C:/Users/Administrator/Desktop
2020-03-05 20:10:26

Modelsim SE中如何指定altera仿真库

Modelsim SE中如何指定altera仿真库
2008-09-09 17:50:0444

基于Quartus II + ModelSim SE的后仿真

首先大家必须把Quartus II和ModelSim SE都安装好,并成功破解,这个就不说了。
2009-07-22 15:25:100

基于Quartus II + ModelSim SE的后仿真

首先大家必须把Quartus II和ModelSim都安装好,并成功破解,在这里这个就不说了.
2009-07-22 15:43:480

modelsim SE 创建Alter

modelsim SE 创建Alter
2010-02-09 13:41:4943

ModelSim使用教程

ModelSim使用教程 ModelSim OEM入门教程
2010-04-14 11:35:040

在Quartus II 里使用Modelsim 仿真

当我们使用QuartusII,但是大多数朋友都习惯用Modelsim SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特
2010-06-24 17:57:18216

ModelSim SE 入门

本文以ModelSim SE 5.6版本为基础,介绍ModelSim SE的最基本用法,高深的我也不会 。当你安装完ModelSim SE之后,可以将你的ModelSim SE的起始路径设置为你的工作目录(如e:verilog),具体方
2010-07-06 15:37:1569

#FPGA点拨 modelsim用法

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 09:53:07

#FPGA点拨 modelsim初级练习2说明

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:21:51

#FPGA点拨 modelsim初级练习2答案

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:22:48

#FPGA点拨 modelsim初级练习3说明

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:25:18

#FPGA点拨 modelsim初级练习3答案

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:26:27

#FPGA点拨 modelsim一级练习2说明

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:28:39

#FPGA点拨 modelsim一级练习2答案

fpgaModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:31:01

Modelsim百问(一)

第一章 1、 关于 Modelsim中库的编译 2、 如何在modelsim中指定Altera的仿真库 3、 Modelsim波形文件 4、 后仿真时,是不是要对复位信号GSR/GTR做特别特殊处理?为什么? 5、 功能仿真加STA能不
2011-05-26 15:48:050

Keil_import相关资料

Keil_import相关资料,有需要的下来看看
2016-08-16 18:54:455

modelsim使用教程

modelsim使用教程,感兴趣的小伙伴们可以瞧一瞧。
2016-11-05 14:48:040

安装ModelSim6.0SE

安装ModelSim6.0SE,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 11:41:2012

modelsim使用教程

modelsim使用教程,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 11:41:208

modelsim使用教程

讲解MODELSIM使用
2017-01-24 17:30:1336

关于xilinx14.6在modelsim SE 10.1a仿真中遇到的若干问题

也许适用,但对于modelsim SE 10.1a却不再屡试不爽了。 解决办法:经过探索,10.1a的命令应该修正为 compxlib -s mti_se -l all -arch all -lib all -w -dir C:\modeltech_10
2017-02-08 15:20:11826

modelsim中指定赛灵思的仿真库方法

批命令 A、开始-运行:cmd 在DOS窗口输入:“ compxlib –s mti_se –f all –l all –o c:\Modeltech _6.5d\xilinx_libs
2017-02-11 12:56:111966

ModelSim简明操作指南

本指南是为ModelSim5.5f版本编写的,该版本运行于UNIX和Microsoft Windows 95/98/Me/NT/2000的操作系统环境中。本指南覆盖了VHDL和Verilog模拟仿真,但是你在学习过程中会发现对于单纯的HDL设计工作而言,它是一个很有用的参考。
2017-11-23 11:33:500

modelsim保存波形操作方法解析

在数字电路设计中(Verilog or VHDL),ModelSim是常用的仿真工具。当我们仿真大型的工程时,往往需要保留仿真波形,以便分析和以后查看。这就需要学习在ModelSim里面如何保存仿真波形及调用波形。
2017-11-24 11:13:2415022

建立一个方法和套路来对 Load 高问题排查

讲解 Linux Load 高如何排查的话题属于老生常谈了,但多数文章只是聚焦了几个点,缺少整体排查思路的介绍。所谓 “授人以鱼不如授人以渔"。本文试图建立一个方法和套路,来帮助读者对 Load 高问题排查有一个更全面的认识。
2017-12-28 14:18:015020

Modelsim仿真教程Modelsim的基础入门基础教程免费下载

笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim,笔者则是一直保持保留的态度。笔者认为,仿真
2019-04-30 18:24:0023

正点原子开拓者FPGA视频:Modelsim软件的使用(2)

ModelSim有几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本,而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。
2019-09-19 07:10:002114

FPGA的Quartus ModelSim的安装介绍和使用等基本操作资料免费下载

本文档的主要内容详细介绍的是FPGA的Quartus和ModelSim等安装介绍和使用等基本操作资料免费下载。
2020-03-23 15:49:3223

如何使用Modelsim实现一个工程的仿真

之前玩过Altera的板子,不不, 现在应该叫intel PSG。在QuartusII13.0上老喜欢用modelsim_ae做仿真,小工程用起来也方便,但是我做IIC配置摄像头的时序仿真时,就显得有些吃力,所以还是用modelsim_se才是正点。
2020-04-10 08:00:001

基于ModelSim使用二联合Quarus自动仿真教程

3 ModelSim工程实战之自动仿真说完了 ModelSim 的使用流程,接下来我们将会对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用 ModelSim 软件。首先我们讲解
2021-07-23 10:51:171710

基于ModelSim使用四ModelSim手动仿真教程

4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
2021-07-23 11:10:483514

Vivado与ModelSim的联合仿真操作

Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim下手了,接下来介绍下这两者联合仿真的操作
2022-03-11 11:32:116154

ModelSim工程实战之自动仿真

在该页面中,如果你安装的是 ModelSim 软件,那么你需要在 ModelSim 路径中进行设置,这里我们使用的 ModelSim-Altera , 所 以 我 们 将 ModelSim-Altera 路 径 设 置 成 了C:altera13.1modelsim_asewin32aloem。
2022-05-17 10:09:421898

modelsim波形仿真的基本操作了解

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重启modelsim,自动导入才能得到波形。这样的操作最大的问题就是修改代码的成本巨大。每次更新波形
2022-11-29 09:52:132374

modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动编译,自动添加波形等操作
2023-07-19 10:10:56941

import模块的导入方法

import 语句的基本语法格式如下: import 模块名 # 导入一个模块 import 模块1,模块2… # 导入多个模块 import 模块名 as 模块别名 # 导入模块并使用新名
2023-09-11 17:31:50723

rom…import导入代码介绍

rom…import导入 基本语法格式如下: from 模块名 import 成员1,成员2,… 如果希望导入一个模块中的所有成员,则可以采用如下方式: from 模块名 import
2023-09-11 17:35:52645

如何实现__import__()动态导入

import () 动态导入 import 语句本质上就是调用内置函数 import (),我们可以通过它实现动态导入 实操代码: 使用 import () 动态导入指定的模块
2023-09-11 17:38:27723

如何使用Python和pandas库操作Excel文件

 import Workbook from openpyxl import load_workbook # 读取Excel文件 wb = load_workbook(filename
2023-09-11 17:57:32707

python里面format怎么理解

在Python中,格式化字符串是一种用来创建动态字符串的强大工具。它允许你在字符串中插入变量、表达式和其他值,从而根据需要创建不同形式的输出。 str.format() 方法是Python中最
2023-11-22 09:42:00160

python的format函数怎么用

Python中的format()函数是用于格式化字符串的内置函数。它允许我们将变量的值插入到字符串中,并对字符串进行格式化以满足特定的需求。format()函数使用大括号{}作为占位符来指示我们希望
2023-11-22 09:44:01901

java导入包import怎么写

在Java中,使用import语句来引入其他包中的类,以便在当前文件中使用这些类。import语句可以帮助我们有效地组织和管理代码,并且使程序更易读和易维护。下面是关于Java中import语句
2023-11-22 15:00:33337

JAVA程序开头import怎么弄

Java是一种面向对象的编程语言,它具有丰富的类库和强大的跨平台性能。在编写Java程序时,我们常会使用import语句来引入外部的类或者类库,以便我们可以在程序中使用它们。这篇文章将详细介绍
2023-11-22 15:02:19353

芯原与谷歌携手合作开源项目Open Se Cura

2023年12月19日,中国上海——芯原股份 (芯原,股票代码:688521.SH) 今日宣布与谷歌合作支持新推出的开源项目Open Se Cura。
2023-12-19 09:07:57229

已全部加载完成