电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>关于xilinx14.6在modelsim SE 10.1a仿真中遇到的若干问题

关于xilinx14.6在modelsim SE 10.1a仿真中遇到的若干问题

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

怎样单独使用modelsim仿真xilinx呢?

直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
2023-12-04 18:26:34477

ModelSim SE 十分钟入门

最开始就学习写,写一个程序写一个testbench。ModelSim SE仿真用的文件也就是testbench文件。Testbench文件可以仿真中发现你的逻辑错误,对于以后作较大的程序更适用。而避免
2012-08-12 15:07:13

Modelsim10.1.b破解问题

在破解Modelsim10.1.b时遇到如上图片所示问题,我的系统是win7 32位。哪位大神遇到过此类问题,请帮我解惑,万分感谢!
2013-04-15 16:54:25

Modelsim10.1b仿真出现上面的错误,高手指导一下是什么问题...

Unable to checkout any of these license features: alteramtivsim or alteramtivlog.# Error loading design我用的是Modelsim10.1b仿真出现上面的错误,高手指导一下是什么问题呀,谢谢!
2012-12-25 22:17:43

Modelsim SE 10.1的安装步骤

Xilinx FPGA入门连载2:Modelsim SE 10.1安装特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1安装文件拷贝
2019-04-11 06:27:11

Modelsim SE 进行时序仿真及altera库的添加 [转]

SE仿真工具,主窗口中选择【file】→【change directory】命令将路径转到altera文件夹。或者命令行中执行cd D:/modelsim/altera命令。2.新建库 主窗口
2012-02-01 11:37:40

Modelsim SE仿真ISE下定制的ROM

/convert_hex2ver.dll其中 C:/Modeltech_6.1e/win32/convert_hex2ver.dll为dll文件的保存位置4.把rom.v和rom.mif文件拷贝到同一个目录下,仿真时首先需要加载XIlinx仿真库,然后按照一般仿真过程就可以了。
2012-02-29 10:44:56

Modelsim 仿真出错 “Module 'IBUFG' is not defined”

' is not defined”错误,不知道到底是什么问题?使用的 Modelsim 版本是:Modelsim SE 10.2Xilinx 仿真库已经编译过了,而且已经加载到了 Modelsim 中。还请大侠们多多指教,谢谢!
2015-01-26 23:17:51

Modelsim 仿真问题 “unisim” Does not exist

使用 ISE 软件进行仿真仿真工具是 Modelsim,提示错误,错误详情如下: > unisim (ERROR: Library path "d:/Xilinx/14.3
2015-01-19 14:12:08

Modelsim仿真出错

分。补充一点,我用的软件是Quartus II 13.0sp1和Modelsim ase 10.1d或Modelsim ae 10.1d(这两个软件都会出现同样的问题)。也试着用过Modelsim SE 10.1a,也是如此,另外重装系统和重装软件都已试过仍然无效。
2015-08-30 22:14:39

Modelsim_10.1c_crack

Modelsim_10.1c_crack
2018-10-25 17:58:06

Xilinx 14.6Windows 8上崩溃

嗨,我能够Windows 8的PC上安装Xilinx 14.6。为了将许可证加载到许可证管理器,我必须做一些技巧,但我可以使用Xilinx并毫无困难地启动新项目。问题是,现在我发现我的程序在任
2018-11-28 15:20:59

Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

;filename_you_want.fsdb"); $fsdbDumpvars;endISE新建ISE工程,选择Modelsim SE仿真器,添加rtl/testbench/model等设计文件ISE左侧进入
2012-03-08 15:27:01

Xilinx FPGA入门连载1:ISE14.6安装

XILINX_ISE_DS_14.6”,如图所示。又是5-10分钟的漫长等待,谁让咱们土得连个虚拟光驱都没有捏! 3 ISE14.6安装安装前面的步骤解压缩后,如图所示。着手开始安装前,建议大家把什么乱起八糟
2015-09-04 10:36:02

Xilinx FPGA入门连载2:Modelsim SE 10.1安装

``Xilinx FPGA入门连载2:Modelsim SE 10.1安装特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1安装文件拷贝
2015-09-05 19:21:40

Xilinx FPGA入门连载5:ISE与Modelsim联合仿真之库编译

`Xilinx FPGA入门连载5:ISE与Modelsim联合仿真之库编译特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm1 操作系统
2015-09-14 12:37:44

Xilinx FPGA入门连载6:ISE与Modelsim联合仿真之关联设置

复制。 接着Modelsim的安装目录下,即“C:modeltech_10.1a”,找到“modelsim.ini”。首先右键单击该文件,打开这个文本的属性,确认这个文件的“只读”属性不要勾选上
2015-09-16 13:06:48

Xilinx ISE 12.2 调用Modelsim进行行为仿真详解

本帖最后由 eehome 于 2013-1-5 09:54 编辑 Xilinx ISE 12.2 调用Modelsim进行行为仿真详解
2012-03-05 16:05:08

Xilinx库文件的编译

Directory里面把路径改成存放仿真库的目录,这里为c:\Xilinx\mti_se3.菜单File/New/Libray,弹出Create a New Librayr对话框,将Create选项设置为a
2012-02-27 15:16:55

modelsim SE启动仿真的时候,软件会自动关闭

虚心请假大家了———— modelsim SE启动仿真后,软件就会自动关闭,单独运行的时候就没有什么问题。卸载重装过还是一样的问题,同样的操作别人的软件上不会出现,应该不是代码的问题。泪奔呢。。。。。。请求高人指出解决办法,先谢谢谢谢~~~~~~
2013-06-21 19:49:48

modelsim se 10.1a-win32下载

版和OEM版功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE
2019-04-23 17:23:40

modelsim se 10.1amodelsim se 10.1c有什么区别

刚购买的开发板,发现送的光盘里是modelsim se10.1c跟自己安装的modelsim se 10.1a不一个版本,想知道有什么区别,刚搜到ae,xe ,se,这个se通用版,但是后面尾号10.1c跟10.1a有啥区别呢?
2015-11-16 12:25:08

modelsim 加载xilinx库问题

目录\Xilinx10.1\ISE\bin\nt;图2.6、ModelSim命令窗口(ModelSim下方Transcript)中输入:(根据自己需要选择需求)compxlib -s mti_se
2012-05-15 19:02:08

modelsim10破解过程遇到难题

:\modeltech_10.1c\Xilinx_lib 开始编译XILINX仿真库,等待完成,如果0 error则正确,D:\..为你安装MODELSIM的目录,改为自己的目录
2017-04-16 20:36:41

modelsim仿真

请问一下ISE14.6中编译完modelsim10.0a,在用modelsim进行仿真时会闪退是怎么回事,有什么解决办法?期待热心的你给以指教!
2016-05-19 21:10:18

modelsim仿真遇到的问题

modelsim仿真读取txt文件时,遇到如下错误:Fatal: (vsim-7) Failed to open VHDL file "G:/altera/11.0/quartus
2013-10-30 22:44:45

modelsim仿真不出波形

刚着手FPGA学习,用quartus13.0和modelsim10.1c版本,源代码如下:编的textbeach如下:quartus的设置如下:用modelsim10.1c仿真时得不到波形,如下图:请大家帮忙解决下,谢谢大家了!!!
2016-04-13 17:50:42

modelsim电子系统分析及仿真(第二版)配套光盘

SE 10.1c版软件为平台进行讲解,讲解过程中还提供了命令行操作和菜单操作两种操作方法,命令行操作使得讲解的知识更具扩展性。
2014-06-18 14:11:03

modelsim_SE_10.1a可用(已经破解)

本帖最后由 hainiu312 于 2012-10-2 18:40 编辑 modelsim_SE_10.1a可用(已经破解)地址如下:如果过期的话,这个是备份的。http
2012-08-19 16:20:50

xilinx模拟器无法win8中运行

我在窗口8中安装了xilinx ISE 10.1,14.2和14.6。但是模拟器无法运行任何版本。可能是什么原因 ?如果有人知道解决方案,请回复。以上来自于谷歌翻译以下为原文I installed
2019-03-13 06:19:46

关于modelsim_altera仿真出错

不知道大家使用modelsim_altera的时候,有没有遇到如图所示的情况。我一开始以为是我的testbench文件编写有问题,后来我拿例程去进行仿真,也是同样的问题 # MACRO
2017-12-20 16:11:36

MODELSIM仿真XILINX工程

本帖最后由 lee_st 于 2017-10-31 09:24 编辑 MODELSIM仿真XILINX工程
2017-10-30 17:06:29

MODELSIM仿真XILINX工程

MODELSIM仿真XILINX工程
2018-01-24 17:25:05

ModelSim中添加xilinx仿真

ModelSim中添加xilinx仿真库的具体步骤
2018-09-12 14:58:15

Altera和Xilinx Modelsim仿真

Altera和Xilinx Modelsim仿真库Altera和Xilinx Modelsim仿真库 我们通常使用modelsim软件作为仿真工具,不同阶段的仿真使用不同的库文件,开始仿真前将库
2012-08-10 18:31:02

FPGA Quartus ii 13.1 和modelsim10.1d破解遇到的问题(仅供学习交流 并非原创)

=TAx8nOon1stP3uiVLetaqgtM851FfNIdHJoeGicvjTPDbrW4EEGwb2Dmo5V3NVx30ZQPHS1QiQhhPm2lzemsu_2.Modelsim Altera 10.1d问题:无法load file(具体的Error找不到了)解决方法:破解一下Modelsim
2015-07-24 23:21:50

ISE12.4 是否支持modelsim10.1a

本人初学者,不知道哪位大牛能告诉我ISE12.4 是否支持modelsim10.1a?我怎么也编译不了。但是用modelsim10.1a却可以很轻松地编译ISE10.1a。所以就怀疑是不是版本问题。拜谢了!
2012-07-09 20:40:14

ISE是如何调用ModelSim进行仿真

仿真的整个过程,而无需用很多鼠标点击操作。现在通过一个具体的实例来说明如何运用脚本来实现ModelSim仿真。工具版本:ISE10.1ModelSim 6.5a1. 创建ISE工程首先通过ISE
2019-06-03 09:11:11

Quartus 13.0和Modelsim SE 10.1a 联合仿真

本帖最后由 蓝e 于 2014-11-25 15:35 编辑 Quartus 13.0和Modelsim SE 10.1a 联合仿真 1、首先在Quartus建立工程,编写HDL文件,进行编译
2014-02-28 16:49:16

USB通讯若干问题探讨

`USB通讯若干问题探讨`
2017-11-10 09:41:12

ise和modelsim联合仿真每次修改都要重新启动modelsim

刚开始用modelsimise和modelsim的联合仿真中,一般都是从ise中启动modelsim的,但是如果仿真文件有错,或者要修改仿真文件,难道都要先关掉modelsim,然后再在ise中打开modelsim,不能不关闭modelsim直接进行修改仿真文件,然后直接进行仿真
2015-11-12 10:11:44

quartus13.0和modelisim SE 64 10.1c联合仿真出错

使用quartus13.0和modelisim SE 64 10.1c联合仿真时出现如图所示的错误,请大神帮忙修改。** Error: (vlog-42) Unsupported ModelSim
2016-04-12 17:22:32

【工程源码】基于FPGAModelsim仿真中显示状态机名称的3种方法

经过网上的搜索及自己的实验,总结在Modelsim仿真中显示状态机名称的三种方法。下面以一个具体的实例进行讲解。实例功能:引入状态机实现2分频,这里使用状态机完全只是为了说明如何在仿真中显示状态机
2020-02-21 16:48:08

分享软件Modelsim se 10.1c-win64与破解

=3717639245&uk=151645193破解方法:1.运行modelsim-win64-10.1c-se.exe,可设置安装路径D:modeltech64_10.1c2.安装过程中会让选择是否
2013-07-06 17:07:43

利用ModelSim SE6.0C实现时序仿真

本文介绍的是如何利用ModelSim SE6.0C实现时序仿真,大家可以根据这个资料来进一步掌握ModelSim SE6.0C。如果选中“Maintainhierarchy”,则表示在做时序仿真
2012-03-01 11:46:29

制作edk库时出错

你好 我安装了ise 14.7和modelsim 10.1a se,但在我将ise库创建为modelsim之后,出现了错误。 edk库中存在两个错误,我该怎么办? 谢谢
2020-03-26 09:43:12

单片机C语言编程应注意的若干问题

《单片机C语言编程应注意的若干问题》由会员分享,可在线阅读,更多相关《单片机C语言编程应注意的若干问题(4页珍藏版)》。1、单片机C语言编程应注意的若干问题摘 要: 本文较详细地分析了单片机C语言
2021-07-15 09:12:08

安富利GSM给您说说ModelSim仿真XILINX库添加

本帖最后由 diangongshi 于 2012-2-24 21:42 编辑 盖住了版本号,为的是告诉大家,这个各个版本通用。使用ModelSim软件对Xilinx ISE进行后仿真时,需要
2012-02-24 21:40:17

安装Modelsim SE 10.1a 破解版本

`求助求助我想安装modelsim 各位大神们谁有好的建议或者版本啊急用为什么我安装Modelsim SE 10.1a 破解版本的时候到最后就出现这个信息呢我是按着破解资料一步一步的运行的啊 求助
2015-11-03 08:24:13

寻求 modelsim软件

寻求modelsim软件10.1a的64位安装软件,急求,但是自己找不到,希望大侠们给予帮助,谢谢!
2013-12-04 21:48:37

应用现场总线若干问题的思考,不看肯定后悔

应用现场总线若干问题的思考,不看肯定后悔
2021-05-28 06:51:09

新人求助关于modelsim仿真的问题

最近几天在学习用quartus调用modelsim进行仿真,可是仿真的时候一直出现这种情况,一直处于loading的状态。求帮助。另外我的版本是quartus9,modelsim10.1c64位。重装也没用。
2014-10-26 16:12:08

时序仿真中怎么查看内部信号的变化

quartus中调用modelsim进行gate level仿真,但是sim窗口中的信号变得很怪,不能像rtl仿真中一样往wave窗口中加信号
2014-08-27 16:14:23

有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?

请问谁有有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?希望回复?谢谢
2014-11-25 10:18:00

求ISE14.6modelsim10.1a的联调方法???

求ISE14.6modelsim10.1a的联调方法???
2014-10-11 12:22:31

求大神解答,关于modelsim仿真的问题

我电脑是win7X64的,现在装的是modelsim10.0C_SE,之前装过modelsim10.1C_SE,全部出现同一个问题——无法仿真。现象就是我正常建立library(work),然后
2015-09-14 20:29:59

Modelsim SE中如何指定altera仿真

Modelsim SE中如何指定altera仿真
2008-09-09 17:50:0444

基于Quartus II + ModelSim SE的后仿真

首先大家必须把Quartus II和ModelSim SE都安装好,并成功破解,这个就不说了。
2009-07-22 15:25:100

汽车通过性若干问题的研究

汽车通过性若干问题的研究:本文总结了描述地面特性的承压模型、剪切模型和承载模型,采用常规最小二乘法和转换加权最小二乘法分别对以上模型参数进行了辨识;以经典的Bekk
2009-07-26 11:38:5023

R型变压器潜力探讨与若干问题的分析

R型变压器潜力探讨与若干问题的分析1、R型铁心变压器目前现状R型铁心变压器在国内发展已近20个年头,除了音响、仪表等设备利用其漏磁小、振动低、噪音小、
2009-11-18 11:39:5722

关于TD-SCDMA直放站具体应用若干问题的探讨

关于TD-SCDMA直放站具体应用若干问题的探讨:在信息产业部相关部门组织下,已有三家运营商在全国三个城市保定、厦门、青岛组织TD-SCDMA的规模试验网测试,引起了通信界对TD-SCDMA技
2010-01-16 15:23:5517

modelsim SE 创建Alter

modelsim SE 创建Alter
2010-02-09 13:41:4943

xilinx ISE设计套装10.1下载

xilinx ISE设计套装10.1下载
2010-04-17 16:15:181915

在Quartus II 里使用Modelsim 仿真

当我们使用QuartusII,但是大多数朋友都习惯用Modelsim SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特
2010-06-24 17:57:18216

ModelSim SE 入门

本文以ModelSim SE 5.6版本为基础,介绍ModelSim SE的最基本用法,高深的我也不会 。当你安装完ModelSim SE之后,可以将你的ModelSim SE的起始路径设置为你的工作目录(如e:verilog),具体方
2010-07-06 15:37:1569

提高LED功率的若干问题控讨

关於提高LED功率的若干问题 LED器件的温升效应及其对策文章较详尽地阐述了结温升高对LED光输出强度、LED P-N结的正向电压及发光颜
2008-10-25 13:39:171248

#硬声创作季 #FPGA Xilinx开发-04 基于ModelSim的逻辑仿真

fpga芯片XilinxModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
水管工发布于 2022-10-08 22:41:27

空调用变频电源若干问题探讨

空调用变频电源若干问题探讨 A Few Problems Discussion on Frequency Conversion Air 摘要:概述国内空调变频电源的研制状况,分析空调变频电源的使用条
2009-07-11 09:14:26834

Protel99SE在某装备随动系统电路仿真中的应用

Protel99SE在某装备随动系统电路仿真中的应用 1 电路仿真软件Protel 99 SE介绍及仿真流程   Protel是众人熟悉的电子CAD软件,而Pro
2009-11-16 16:36:25958

MODELSIM仿真(适合xilinx ISE)

基于Xilinx ISE的modelsim仿真教程
2015-11-30 15:52:568

安装ModelSim6.0SE

安装ModelSim6.0SE,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 11:41:2012

减少Xilinx Ise与Modelsim联合仿真的错误方法

我们经常使用Xilinx Ise与Modelsim联合仿真,但是经常出现一些由于库没有编译而出现的错误!下面是我总结的方法:
2017-02-11 13:43:061348

Xilinx ISE是如何调用ModelSim进行仿真

在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真
2017-02-11 15:25:0710139

Modelsim仿真教程Modelsim的基础入门基础教程免费下载

笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim,笔者则是一直保持保留的态度。笔者认为,仿真
2019-04-30 18:24:0023

关于使用quarts2联合modelsim进行仿真

软件版本quarts2 13.0; modelsim SE10.5 1.首先安装好上面两个软件 2.打开quarts2,找到option 3.进行modelsim的设置 这里需要注意的是设置路径
2020-03-16 16:13:03889

如何使用Modelsim实现一个工程的仿真

之前玩过Altera的板子,不不, 现在应该叫intel PSG。在QuartusII13.0上老喜欢用modelsim_ae做仿真,小工程用起来也方便,但是我做IIC配置摄像头的时序仿真时,就显得有些吃力,所以还是用modelsim_se才是正点。
2020-04-10 08:00:001

基于ModelSim使用四ModelSim手动仿真教程

4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
2021-07-23 11:10:483514

Vivado调用Questa Sim或ModelSim仿真小技巧

Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案。 Vivado调用Questa Sim仿真中存在的一些问题 首先说明一下Modelsim与Questa
2021-09-02 10:12:067274

Proteus仿真51系列单片机若干问题(日后整理)

1、proteus仿真中对于芯片运行中自定义变量的随时查看 变量变化不好掌握,本人暂时不知道软件仿真中有没有这个查看变量的功能(貌似只有帧暂停查看查看芯片寄存器、存储器的功能) 方法:程序
2021-11-23 16:51:194

ModelSim手动仿真教程

在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation 文件夹中创建
2022-07-11 10:58:094458

芯片设计之Modelsim仿真工具

Modelsim仿真将设计以树状表示,设计中的每一个实体,每一个module、每一个进程(always块、initial块等)在Modelsim仿真中以对象的形式展现。
2022-08-12 15:04:362137

BQ25601应用中的若干问题

BQ25601应用中的若干问题
2022-10-28 12:00:090

Vivado调用Modelsim仿真

Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
2023-07-24 09:04:431817

借助GPT4理解仿真中竞争处理的方法

上周微信群里的一个小伙伴提到的一个关于仿真中不达预期的一个问题,其中牵涉到关于仿真中信号竞争等问题。这个问题之前算是不求甚解。
2023-11-25 14:23:22271

已全部加载完成