0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

fpga加油站 来源:fpga加油站 2023-07-19 10:10 次阅读

大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbench文件,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动编译,自动添加波形等操作。

请注意!以上功能的实现必须要先完成modelsim编译vivado库!

通过这个modelsim自动化仿真实验,你可以学到如下知识:

1.如何利用脚本实现modelsim的自动化仿真。

2.do文件的结构以及常用代码语句。compile.do文件内容部分截图:

91525558-2554-11ee-962d-dac502259ad0.png

3.波形文件wave.do的结构内容以及常用代码语句。wave.do文件内容部分截图:

9179776e-2554-11ee-962d-dac502259ad0.png

4.实际工作中各个模块之间的架构,怎么把各个模块封装起来,最后只留下一个顶层文件。

91984d92-2554-11ee-962d-dac502259ad0.png

91ec44c4-2554-11ee-962d-dac502259ad0.png

5.如何实现axi_master与axi_slave之间的仿真。这个自动化仿真实验实现的就是axi_master与axi_slave之间的仿真。通过这个实验,不仅能够基本掌握如何用modelsim实现自动化仿真,而且还可以帮助你掌握axi_master与axi_slave的底层代码逻辑。对于才接触axi总线的朋友来说,这个内容是很有价值的。它将为你学习axi总线大大助力!source文件夹下面的目录截图:

92160e76-2554-11ee-962d-dac502259ad0.png

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132163
  • 程序
    +关注

    关注

    114

    文章

    3631

    浏览量

    79547
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • 脚本
    +关注

    关注

    1

    文章

    372

    浏览量

    14636
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65103

原文标题:干货技能 | modelsim自动化仿真(以axi_master与axi_slave仿真为例)

文章出处:【微信号:fpga加油站,微信公众号:fpga加油站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何用Python实现Vivado和ModelSim仿真自动化

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
    的头像 发表于 09-13 09:23 761次阅读
    如何用Python<b class='flag-5'>实现</b>Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b><b class='flag-5'>自动化</b>?

    modelsim仿真自动关闭

    请问 modelsim仿真自动关闭是怎么回事?使用的是 从ALTERA官网下载的Quartus II 网络版软件 ,安装之后就包含了modelsim10.1d(Quartus Ⅱ1
    发表于 05-28 22:06

    工控仪器自动化

    生产过程实现检测、控制、优化、调度、治理和决策,达到增加产量、进步质量、降低消耗、确保安全等目的的综合性技术,主要包括产业自动化软件、硬件和系统三大部分。产业控制自动化技术作为20世纪现代制造领域
    发表于 09-17 15:37

    工控仪器自动化

    自动化:化学吸附micromeritics.com.cn/products_view.aspx?id=20产业控制自动化技术是一种运用控制理论、仪器仪表、计算机和其它信息技术,对产业生产过程实现检测
    发表于 09-17 16:06

    FPGA设计之自动化

    TCL Shell,这是一个TCL编译执行工具入口,虽然有了一系列TCL命令,但是还是必须手动打开这个shell才能执行TCL文件。以前在使用ModelSim进行自动化仿真(下一节介绍)时候用到过一
    发表于 12-16 15:09

    quartus与Modelsim 联合仿真自动退出

    本帖最后由 srxh 于 2015-12-7 23:28 编辑 各位大神,求指点迷津!本人有个问题想请教下,quartus II 11与Modelsim6.6d联合仿真时,每次一点仿真进入
    发表于 12-06 23:52

    modelsim自动化独立仿真介绍

    文件;modelsim -do sim.do注意 :modelsim在安装的时候需要加入到环境变量。sim.do : 自动化仿真脚本,语法是
    发表于 12-21 14:52

    Modelsim仿真教程Modelsim的基础入门基础教程免费下载

    笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim
    发表于 04-30 18:24 23次下载
    <b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>教程<b class='flag-5'>Modelsim</b>的基础入门基础教程免费下载

    如何使用Modelsim实现一个工程的仿真

    之前玩过Altera的板子,不不, 现在应该叫intel PSG。在QuartusII13.0上老喜欢用modelsim_ae做仿真,小工程用起来也方便,但是我做IIC配置摄像头的时序仿真时,就显得有些吃力,所以还是用
    发表于 04-10 08:00 1次下载
    如何使用<b class='flag-5'>Modelsim</b><b class='flag-5'>实现</b>一个工程的<b class='flag-5'>仿真</b>

    如何用Python实现Vivado和ModelSim仿真自动化

    本公众号专辑《芯片设计课程及相关实验》。本文是孙义雯同学采用Python语言实现的Vivado和Modelsim联合仿真自动化
    的头像 发表于 05-03 10:06 1739次阅读
    如何用Python<b class='flag-5'>实现</b>Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b><b class='flag-5'>自动化</b>?

    基于ModelSim使用二联合Quarus自动仿真教程

    3 ModelSim工程实战之自动仿真说完了 ModelSim 的使用流程,接下来我们将会对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用
    的头像 发表于 07-23 10:51 1773次阅读
    基于<b class='flag-5'>ModelSim</b>使用二联合Quarus<b class='flag-5'>自动</b><b class='flag-5'>仿真</b>教程

    基于ModelSim使用四ModelSim手动仿真教程

    的 manual_modelsim 文件夹中,这里我们就将自动仿真时用到的 Verilog_First.v 文件和 Verilog_First.vt 文件复制到 manual_modelsim
    的头像 发表于 07-23 11:10 3581次阅读

    ModelSim手动仿真教程

    的 manual_modelsim 文件夹中,这里我们就将自动仿真时用到的 Verilog_First.v 文件和 Verilog_First.vt 文件复制到 manual_modelsim
    的头像 发表于 07-11 10:58 4541次阅读

    芯片设计之Modelsim仿真工具

    Modelsim仿真将设计以树状表示,设计中的每一个实体,每一个module、每一个进程(always块、initial块等)在Modelsim仿真中以对象的形式展现。
    的头像 发表于 08-12 15:04 2232次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 2009次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>