0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado与ModelSim的联合仿真操作

FPGA技术江湖 来源:FPGA技术江湖 作者:FPGA技术江湖 2022-03-11 11:32 次阅读

Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim下手了,接下来介绍下这两者联合仿真的操作。

首先确定下自己的Vivado版本和适配的ModelSim版本,可以在ug973中,找到对应的版本,比如我用的Vivado是2018.2的,然后匹配的ModelSim是10.6c的版本。(有时候版本不匹配也能用,不过还是建议尽量匹配版本的好,省得出一些奇怪的问题)

42b63cce-8a3d-11ec-952b-dac502259ad0.png

然后准备编译仿真库,打开Vivado,先打开Settings的仿真器配置 (注:我因为装过多个版本,仿真器要换成最16.c的版本,不然编译结果会因为版本不匹配出问题)

42c96876-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif ModelSim的安装路径换成16.c版本的位置,库路径也设置好对应的位置,然后就可以OK保存并关闭了

42f03370-8a3d-11ec-952b-dac502259ad0.png

接着在菜单栏中选 Tools > Compile Simulation Libraries

43072e40-8a3d-11ec-952b-dac502259ad0.png

设置项里选好仿真器(此处为ModelSim),编译后的仿真库放置路径(就填上面设置的那个新的仿真库路径),ModelSim程序的路径,IP核编译那项别取消了,是为了编译Xlinx全部IP核的,其他项保持默认即可;TCL的命令就是根据这些配置生成的,然后点Compile就可以开始慢慢等编译结果了。

431b902e-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif

经过漫长的等待。。。。。。

结果出来了,检查下结果.......

43450e90-8a3d-11ec-952b-dac502259ad0.png

ERROR: [Vivado 12-5603] compile_simlib failed to compile for modelsim with error in 1 library

看着红彤彤的ERROR,心里有那么一丝丝小慌,然后往上翻,看看哪ERROR了

43612166-8a3d-11ec-952b-dac502259ad0.png

查到是ldpc编码的这个IP核出了问题,这个平常也没用到,就直接无视这个错误了(要是你们的没错误,就更好了,这个好像是因为系统是64位导致的,具体就懒得深究了)…… 如果是出现很多errors,就建议先检查下版本是否匹配上了,不然也不会疯狂报错…… 就接着往下说吧~ 打开要仿真的工程,然后对打开Settings,因为创建的工程默认是用的自带的仿真配置,所以需要改下仿真设置,首先将 Target simulator 改为 ModelSim Simulator,其次仿真库的位置也需要检查下是不是刚刚编译好的库对应的路径,检查无误就可以点OK,开始后面的了

43768c04-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif

直接运行仿真

439d40c4-8a3d-11ec-952b-dac502259ad0.png

过一小会儿,Modelsim就被Vivado调用了,观察窗口的输出,正常无误

43b1fcf8-8a3d-11ec-952b-dac502259ad0.png

接着运行100us的仿真

43c349cc-8a3d-11ec-952b-dac502259ad0.png

也能正常运行,联合仿真OK~~ 最后补一点,假如自己不想编译库,想直接拿别人编好的,则拷贝好库后,还需要对库的初始化文件做对应的修改,找到库路径下的modelsim.ini(注:不要弄错成ModelSim安装路径下的那个ini了),然后用编辑器打开

43dc11b4-8a3d-11ec-952b-dac502259ad0.png

可以看到这些库都有以绝对路径的形式链接,所以如果是从别的地方拷过来的,或者你打算换个路径放仿真库,那么就需要将旧的路径改为新的路径,然后其他的使用操作就和上面的一模一样了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132163
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65102

原文标题:Vivado与ModelSim的联合仿真

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Modelsim报错, -novopt 开关打开,仿真失败

    在使用紫光同创PDS和Modelsim联合仿真时,modelsim报错不会解决,如下图
    发表于 02-18 10:26

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐
    发表于 01-14 09:47 0次下载

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    Vivado2018版本中Modelsim的配置

    Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在
    的头像 发表于 11-08 14:47 710次阅读
    <b class='flag-5'>Vivado</b>2018版本中<b class='flag-5'>Modelsim</b>的配置

    如何用Python实现VivadoModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 761次阅读
    如何用Python实现<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    vivado仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado仿真器改成modelsim,发现仿
    发表于 08-11 09:47

    使用vivado仿真仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivadomodelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用
    发表于 08-11 06:44

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1718次阅读
    <b class='flag-5'>vivado</b>软件和<b class='flag-5'>modelsim</b>软件的安装方法

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对
    的头像 发表于 07-24 09:04 2006次阅读
    <b class='flag-5'>Vivado</b>调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbe
    的头像 发表于 07-19 10:10 1068次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2563次阅读
    <b class='flag-5'>vivado</b><b class='flag-5'>仿真</b>流程

    MES50HP——PDS与Modelsim联合仿真教程

    ,目前支持ModelSim和QuestaSim,本教程选择ModelSim; 【Language】:仿真库用的语言; 【Library】:选择 usim 则是 GTP 前仿库,vsim 则是 VOP 后
    发表于 06-26 10:45

    simulink和pspice联合仿真问题

    根据网上的资料操作,已可以再simulink中调用pspice中的模型,但是出现仿真错误如下: 网上查到的解决方案并没有解决我的问题,有没有人可以帮我看看呀,或者您的联合仿真是通过什么
    发表于 05-23 15:04

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1895次阅读

    Vivado调用Questa Sim仿真中存在的一些问题

    首先说明一下Modelsim与Questa Sim都可以与Vivado联调,也比较相似,但是Questa Sim比Modelsim功能更加广泛
    的头像 发表于 05-08 11:19 3274次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim<b class='flag-5'>仿真</b>中存在的一些问题