0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

modelsim波形仿真的基本操作了解

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-11-29 09:52 次阅读

1、实验目的

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重启modelsim,自动导入才能得到波形。这样的操作最大的问题就是修改代码的成本巨大。每次更新波形的时间在5分钟左右。为此,通过不断地学习,终于将波形仿真的各个事项了解清楚。这里做一下记录,方便以后回顾。

2、实验操作

①纯modelsim的verilog仿真

一般来说,前仿真是不需要器件的。如果使用了IP核就另论。这里先通过纯modelsim仿真了解modelsim的工作目录的结构,为后面的联合仿真的刷新提供基础。

这里直接使用图片集描述:

e97f9bf8-6f7b-11ed-8abf-dac502259ad0.png

这个操作感觉意义不大,在新建工程中也也可以创建library。

e996f01e-6f7b-11ed-8abf-dac502259ad0.png

这个可以添加project和library,构建设计平台。

然后进入project窗口,这个窗口就是用于编辑工程的,具体操作在前面的一篇关于modelsim的操作中有,这里不具体说明。

e9afd53e-6f7b-11ed-8abf-dac502259ad0.png

添加本地文件和编译都在右击中。接下来转到library界面,就在上图的左下角的library中。

e9c42a34-6f7b-11ed-8abf-dac502259ad0.png

进入仿真阶段。这是后会自动出现sim界面。(还是在左下角可以看到)

这里是没有波形的,需要手动添加观测信号

e9d0d7ac-6f7b-11ed-8abf-dac502259ad0.png

这里的wave是暗的,为什么?可以看到sim的亮线指在sim_capacity上,而非mux2_tb上,导致没有可以选择的观察信号。同理,如果指向其他的地方,被选择的观察信号可能是别的模块,比如被测模块。如果两个模块有同名变量且没有连接,那就可能出现多个同名不同值的波形。(这里值得注意,但凡是右击,都要确定光标所在,否则容易出错)

正确地添加信号后会出现wave(有些是浮动的,有些是嵌入的)

e9f4f8a8-6f7b-11ed-8abf-dac502259ad0.png

有信号名,但是没有波形。这里出现的问题是没有运行。光开显示器不开主机,有啥子用。运行的快捷键在运行时间的右侧,三种方式。第一个是正常运行,第二种是继续(一般有stop系统函数的时候使用较多,第三个一直运行。运行时间的位置比较明显,就是一堆快捷键中的数字输入框。

ea033eb8-6f7b-11ed-8abf-dac502259ad0.png

这是初始的波形,显然看着不爽。调整波形的常用按键:

ea1b40ee-6f7b-11ed-8abf-dac502259ad0.png

基本上掌握这三个键基本的功能就可以使用了。放大缩小也重要,但是一看就知,这里就不说明了。

基于软件的顺序逻辑,如果想要修改Verilog源文件,就要关闭工程,修改文件,保存文件、保存文件、保存文件,然后再编译。重要的事情说三遍。modelsim的编译是不会提醒文件是否保存的。一定要自己保存,否则,你得到的一直是修改前的文件编译结果。

②基于联合仿真的波形修改

通过几次实验,发现使用重新启动编译的话,如果使用软件操作的话还不如直接重启后在启动联合仿真。比较合理的方法是使用现成的脚本,也就是联合仿真时的.do文件。在控制台再执行一次即可。

3、实验结果

基本了解了modelsim的波形仿真的基本操作。但是对于联合仿真的修改还是比较费时间,毕竟要编译库。不想记住的也可以重启,反正差别不大。联合仿真中会生成可执行工程文件,这个相当于一个快捷方式。这里说明一下。

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132148
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109298
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66739

原文标题:modelsim波形仿真

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ISE 关联 Modelsim 详细操作

    在两者之间即可,然后保存。 第三步,打开ISE,在菜单Edit-Preferences…,调出设置窗口。 设置好之后就到了最后一步,在新建工程时,选择对应的modelsim即可,在看仿真时正常打开就行了。
    发表于 03-22 18:55

    最实用的Modelsim使用教程

    IP Core相关)、网表和延时文件的步骤。 后仿真的前提是quartus已经对要仿真的目标文件进行编译,并生成modelsim仿真所需要的.vo文件(网表文件)和.sdo文件(时延文
    发表于 03-19 16:40

    最实用的Modelsim使用及仿真的基本步骤

    仿真也称为时序仿真或者布局布线后仿真,是指电路已经映射到特定的工艺环境以后,综合考虑电路的路径延迟与门延迟的影响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时序违规。
    的头像 发表于 03-06 09:58 873次阅读
    最实用的<b class='flag-5'>Modelsim</b>使用及<b class='flag-5'>仿真的</b>基本步骤

    【基于Lattice MXO2的小脚丫FPGA核心板】02ModelSim仿真

    的界面点击Finish后,会自动进入ModelSim软件中进行模块仿真。在仿真界面中,可以将模块的输入输出添加到监视界面,便于进行模块的程序逻辑分析。 设定仿真的运行时间后,点击运行
    发表于 02-29 08:25

    Modelsim报错, -novopt 开关打开,仿真失败

    在使用紫光同创PDS和Modelsim联合仿真时,modelsim报错不会解决,如下图
    发表于 02-18 10:26

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐
    发表于 01-14 09:47 0次下载

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 585次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 756次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    使用modelsim仿真时为什么会出现error呀?

    使用modelsim仿真时为什么会出现error呀
    发表于 08-12 07:57

    将vivado的仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado的仿真器改成modelsim,发现仿真的时候modelsim的trans
    发表于 08-11 09:47

    使用vivado的仿真仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivado和modelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado的仿真仿真
    发表于 08-11 06:44

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1701次阅读
    vivado软件和<b class='flag-5'>modelsim</b>软件的安装方法

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1990次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    ,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动编译
    的头像 发表于 07-19 10:10 1061次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    【正点原子DFPGL22G开发板体验】ModelSim 的安装和使用 FPGA开发仿真

    PDS 和仿真软件 Modelsim 放在 B 盘),文件列 表如下图所示:使用 Modelsim 软件需要添加 License,请多多支持正版。至此,Modelsim 安装完成。学
    发表于 04-30 17:24