电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>vhdl是什么意思

vhdl是什么意思

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

例说Verilog HDL和VHDL区别

Verilog和VHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的Verilog和VHDL进行了讨论。
2023-12-20 09:03:54468

VHDL 技术教程

VHDL 技术教程
2013-07-09 20:13:20

VHDL与FPGA设计

VHDL与FPGA设计
2012-08-17 09:44:37

VHDL入门

VHDL入门
2012-08-16 20:14:44

VHDL语言基础

VHDL语言基础
2012-08-15 17:36:58

vhdl实用教程pdf下载

vhdl实用教程pdf下载本书比较系统地介绍了VHDL的基本语言现象和实用技术。全书以实用和可操作为基点,介绍了VHDL基于EDA技术的理论与实践方面的知识。包括VHDL语句语法基础知识(第1章~第
2008-06-04 10:31:29

vhdl是什么

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL翻译成中文就是
2015-09-30 13:48:29

Error (10887): VHDL error

Error (10887): VHDL error at Display.vhd(61): simplified sensitivity list is not supported
2017-11-07 20:28:10

FPGA的VHDL有哪些优点?怎么理解VHDL

VHDL 主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL 在语言形式、描述风格和句法上与一般的计算机高级语言十分相似。VHDL 的程序结构特点是将一项
2018-09-07 09:04:45

ModelSim TestBench VHDL参考模板

ModelSim TestBench VHDL参考模板.vhdModelSim TestBench VHDL参考模板
2012-08-12 15:10:06

使用DCM怎么生成vhdl文件

你好!我是FPGA设计的新手。我想在我的项目中使用DCM,但是,在我执行Xilinx时钟向导之后,没有生成响应的vhdl文件。我想知道我该怎么生成这个vhdl文件。我还有其他步骤吗?谢谢你的考虑
2019-01-15 10:22:23

怎么用simulink生成vhdl

嗨,我喜欢vhdl和fpga。有人告诉我,我们可以使用simulink生成vhdl。我想处理寄存器,查找表和累加器。我只知道simulink上的基本知识。任何人都可以建议哪种方式最好。如果
2020-03-20 06:16:39

VHDL教程

VHDL教程
2012-06-05 15:51:28

求数字设计和vhdl的好书

大家好,我对VHDL有点新意,我想在你学习数字设计的同时,对你知道对VHDL有益的书籍有所了解。那么那些真正优秀的书籍是什么?
2020-05-22 06:42:27

The VHDL Cookbook

The VHDL Cookbook 好东西哦。网上搜集,希望对你有用。
2006-03-25 14:37:5519

VHDL硬件描述语言教学.

VHDL硬件描述语言教学:包括fpga讲义,VHDL硬件描述语言基础,VHDL语言的层次化设计的教学幻灯片
2006-03-27 23:46:4993

曼彻斯特编|解码器|VHDL

曼彻斯特编解码 Xilinx提供 有VHDL代码 和Verilog代码两种.
2008-05-20 11:28:30134

vhdl语言教程下载

第1章 绪论 1.1 关于EDA 1.2 关于VHDL 1.3 关于自顶向下的系统设计方法 1.4 关于应用 VHDL的 EDA过程 1.5 关于在系统编程技术 1.6 关于FPGA/CPLD的优势 1.7 
2008-06-04 10:24:061679

vhdl语言ppt

VHDL的定义和功能VHDL的发展概况程序编程语言和硬件描述语言的对比引入硬件描述语言对系统进
2008-09-03 12:58:4139

VHDL语言及其应用 pdf

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言体
2009-02-12 09:41:38172

VHDL Decoder Skeleton

VHDL Decoder Skeleton Used to Implement the Full CPU Memory Map The following VHDL code is used
2009-03-28 15:28:2211

VHDL “Cheat” Code (To Enable B

VHDL “Cheat” Code To Enable Basic CPU <> ROM Communication
2009-03-28 15:32:1322

A CPLD VHDL Introduction

A CPLD VHDL Introduction This introduction covers the fundamentals of VHDL as applied to Complex
2009-03-28 16:14:3725

VHDL在高速图像采集系统中的应用设计

介绍高速图像采集系统的硬件结构及工作原理, 讲述FPGA 在图像采集与数据存储部分的VHDL 模块设计, 给出采集同步模块的VHDL 源程序。
2009-04-16 10:45:5515

VHDL基本语法实例

VHDL基本语法实例:
2009-05-27 08:57:4911

VHDL语法例子教程

VHDL基本语法实例:
2009-05-27 10:32:19123

UART 4 UART参考设计,Xilinx提供VHDL代码

UART 4 UART参考设计,Xilinx提供VHDL代码 uart_vhdl This zip file contains the following folders
2009-06-14 08:57:14113

VHDL Library of Arithmetic Uni

VHDL Library of Arithmetic Units fdl A comprehensive library of arithmetic units written
2009-06-14 09:09:3017

VHDL语言及其应用

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言体
2009-07-10 17:21:4418

VHDL语言及其应用

VHDL语言及其应用的主要内容:第一章 硬件模型概述第二章 基本的VHDL编程语言第三章 VHDL模型的组织第四章 VHDL综合工具第五章 VHDL应用样例附录A VHDL
2009-07-20 12:06:150

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言的特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言( 硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

Instantiating LPM in VHDL

1. INSTANTIATING LPM in VHDLTo promote LPM usage in VHDL design community, this section describes
2009-09-13 14:29:0326

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

vhdl数字系统设计

vhdl数字系统设计是数字电路自动化设计(EDA)入门的工具书。其内容主要包括:用VHDL语言设计的基本组合电路、时序电路、数字综合电路、电路图输入法要领概述、实用VHDL语句
2009-10-08 21:54:010

VHDL基础教程

VHDL基础教程:VHDL语言及其应用目录:第1章 VHDL基本概念 1.1 数字系统建模 1.2 建模的域和级 1.3 建模语言 1.4 VHDL建模的概念 1.5 一个VHDL设计实例 1 6
2009-10-16 18:17:58357

VHDL数位电子钟

VHDL 数位电子钟在这个数位电子钟我们使用可支持VHDL 格式的MAX+plusII 软件开发工具来做设计,利用VHDL 硬件描述语言的方式,将一个复杂的电路写成一颗IC,有错误也不用像以前
2009-11-22 17:50:38174

VHDL深入教程

VHDL深入教程
2009-12-05 16:33:18199

VHDL实例大全

VHDL实例大全
2010-04-19 13:58:00239

VHDL源程序100例

VHDL源程序100例
2010-11-01 17:05:30319

电子钟VHDL设计

电子钟VHDL设计 电子钟VHDL设计 标签/分类: 1.系统设计要求   (1)具有时、分、秒计数显示功能,小时为24进制,分钟和
2007-08-21 15:31:054314

汽车尾灯VHDL设计

汽车尾灯VHDL设计 汽车尾灯VHDL设计 标签/分类: 1.系统设计要求     用6个发光管模拟6个汽车尾灯(左右各3个),用4个开
2007-08-21 15:32:404610

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备
2009-03-13 19:23:571998

VHDL并行语句(生成语句)使用练习

实验七、VHDL并行语句(生成语句)使用练习一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握VHDL语言的生成语句的使用方法。二  实
2009-03-13 19:25:102479

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器
2009-03-13 19:26:582368

VHDL语言应用实例指导

VHDL语言应用实例指导 VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则
2009-03-20 14:15:532064

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

VHDL设计中电路简化问题的探讨

 摘 要:从描述方法、设计规则、逻辑函数分析了VHDL设计中容易引起电路复杂化的原因,并提出了相应的解决方法。     关键词:VHDL 电路简化
2009-06-20 12:36:47721

VHDL在高速图像采集系统中的应用设计

摘要:介绍高速图像采集系统的硬件结构及工作原理,讲述FPGA在图像采集与数据存储部分的VHDL模块设计,给出采集同步模块的VHDL源程序。 关键
2009-06-20 14:35:02663

VHDL设计专用串行通信芯片

VHDL设计专用串行通信芯片 一种专用串行同步通信芯片(该芯片内部结构和操作方式以INS8250为参考)的VHDL设计及CPLD实现,着重介绍了用VHDL及CPLD设计专用通信芯片的
2009-10-12 19:07:481701

数字电压表的VHDL设计与实现

数字电压表的VHDL设计与实现 介绍数字电压表的组成及工作原理,论述了基于VHDL语言和FPGA芯片的数字系统的设计思想和实现过程。  关键词:数字电压表;VHDL
2009-10-12 19:14:321628

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

VHDL设计中信号与变量问题的研究

VHDL设计中信号与变量问题的研究   在VHDL程序设计中,可以充分利用信号或变量的系统默认值,来灵活实现设计目标。本文从应用的角度举例说明了VHDL设计中信号与变量
2010-04-12 14:52:211184

VHDL程序实例

本书是数字电路电子设计自动化(EDA)入门的工具书,其内容主要包括:用 VHDL 设计的基本组合电路、时序电路、数字综合电路、电路图输入法要领概述、实用VHDL语句等;附录部分介绍了
2011-08-04 10:17:430

基于VHDL的电表抄表器设计

文章在MAX+PLUS II 开发环境下采用VHDL 语言设计并实现了电表抄表器讨论了系统的四个组成模块的设计和VHDL 的实现每个模块采用RTL 级描述整体的生成采用图形输入法通过波形仿真下载芯
2011-09-23 17:56:1140

PLD Programming Using VHDL

本文详细讨论了VHDL语句对PLD设计的影响和设计经验,经典文章,值得仔细阅读消化。, PLD Programming Using VHDL
2012-01-17 11:20:540

VHDL实用教程

简单介绍了VHDL的实际应用的注意事项,比较适合新手入门
2015-11-02 17:32:330

数字钟VHDL程序

数字钟VHDL程序,quartusii软件,八位七段数码管显示时间,按键可控
2015-12-31 14:56:3425

VHDL Simulation

VHDL Simulation,好东西,喜欢的朋友可以下载来学习。
2016-02-17 14:38:398

VHDL应用工程

本书以 VHDL 程序设计基础与工程实践为内容,全面介绍了 VHDL 程序设计的基础知 识和基本技术,并结合工程实例讲解电路设计的基本流程和 VHDL技术的应用。本书基本涵
2016-02-17 15:52:133

VHDL Simulation

VHDL Simulation,多种集合,符合热爱PCB绘图的学习者的胃口,喜欢的朋友下载来学习。
2016-03-21 15:06:290

VHDL 黄金参考手册

VHDL 黄金参考手册,有需要的下来看看。
2016-05-20 11:16:3529

VHDL并行语句

这是vhdl并行语句的使用规则,介绍的pdf文件
2016-06-08 14:10:530

VHDL数字电路设计与应用实践教程

这本VHDL书籍,配套学习VHDL语言时从简到难的例子,极大地帮助学习VHDL硬件编辑语言的初学者,如果是刚学完不就VHDL语言,下篇也是非常有益的例子,例子非常详细。
2016-08-03 18:36:2520

VHDL语言(修改)

VHDL语言(修改)有需要的朋友下来看看
2016-08-05 17:32:5324

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

学好VHDL的重要性

学好VHDL的重要性 对VHDL的 介绍
2016-09-02 16:54:4017

硬件描述语言VHDL

硬件描述语言VHDL的学习文档,详细的介绍了VHDL
2016-09-02 17:00:5312

VHDL语言要素

VHDL语言要素,大学EDA课程必备资料,在实际的应用中,VHDL仿真器讲INTEGER类型的数据作为有符号数处理,而综合器将INTEGER作为无符号数处理. VHDL综合器要求利用RANGE子句
2016-11-21 15:40:340

怎样用VHDL写TESTBENCH

一篇文章叫你学会用VHDL写TESTBENCH
2016-11-23 11:52:4611

VHDL一百例

VHDL一百例,感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 18:10:1813

VHDL 编程要注意问题

VHDL 编程要注意问题,感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 17:17:122

VHDL实用教程

VHDL实用教程,很好的一本教材,适合初学者
2016-11-11 15:51:0015

ADDER4 VHDL程序

ADDER4 VHDL程序,感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 11:20:110

Introduction to VHDL

Introduction to VHDL,英文资料,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 15:29:360

vhdl例化

vhdl入门
2016-12-16 16:30:0223

VHDL并行语句

VHDL并行语句
2016-12-11 23:38:390

VHDL实用教程 潘松 367页 4.9M 超清书签版

VHDL实用教程 潘松 367页 4.9M 超清书签版
2016-12-26 22:38:340

基于VHDL的SDRAM控制器的实现

基于VHDL的SDRAM控制器的实现
2017-01-22 13:43:2712

VHDL的基本语法ppt资料

VHDL的入门知识
2017-05-09 08:40:115

第01章 ASIC设计与VHDL简介

vhdl
2017-10-23 08:39:3510

8位移位寄存器vhdl代码

VHDL作为电路的硬件描述语言,并且已经在电路中得到了广泛的运用。本文主要介绍了什么是vhdlvhdl有哪些特点、vhdl的优势以及详细的说明了8位移位寄存器vhdl代码详情。
2017-12-22 15:11:0116224

基于VHDL的EDA技术在医学中的应用

在世界范围内,关于VHDL在多个领域尤其在芯片,系统设计方面的应用研究已经取得众多瞩目成果。而将VHDL与医学相结合,势必成为电子自动化设计(EDA)一个全新的研究方向,本文主要研究将EDA通过VHDL应用于医学,以对脉搏的测量为例,以实现数字系统对人体多种生理活动及生理反应的直观精确测量。
2018-05-23 11:17:001729

vhdl语法详解

VHDL是超高速集成电路硬件描述语言 (Very High speed Integrated Circuit Hardware Description Language)的英文缩写。语法和风格: (1)类似与现代高级编程语言,如C语言。 (2)VHDL描述的是硬件,它包含许多硬件特有的结构。
2018-03-30 15:41:2329

VHDL教程之使用VHDL进行电子设计所需的所有资料

本文档的主要内容详细介绍的是VHDL教程之使用VHDL进行电子设计所需的所有资料包括了:VHDL设计基础知识,VHDL并行语句,VHDL程序实体,VHDL入门,VHDL语言要素,EDA设计流程及其工具,IA64 应用程序寄存器,LCD液晶显示汉字字符集表,OCMJ 系列液晶显示器控制命令集表等
2018-09-25 08:00:000

VHDL层次化文件设计的应用实验说明资料概述

本文档的主要内容详细介绍的是VHDL层次化文件设计的应用实验说明资料概述。一、 实验目的1. 巩固VHDL层次化文件设计方法2. 培养应用VHDL层次化文件设计法的技能
2018-10-17 08:00:007

VHDL教程之VHDL语言元素的详细资料概述

本文档的主要内容详细介绍的是VHDL教程之VHDL语言元素的详细资料概述一内容包括了:1. VHDL语言的客体2 VHDL语言的数据类型3 VHDL数据类型转换4 VHDL词法规则与标识符
2018-11-05 08:00:000

VHDL硬件描述语言入门教程资料免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1. VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合
2019-04-08 08:00:0041

vhdl语言怎么仿真_vhdl语言的基本结构

VHDL程序中,实体(ENTITY)和结构体(ARCHITECTURE)这两个基本结构是必须的,他们可以构成最简单的VHDL程序。通常,最简单的VHDL程序结构中还包含另一个最重要的部分,即库(LIBRARY)和程序包(PACKAGE)。
2020-04-23 15:43:384224

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。
2020-04-23 15:51:032362

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

vhdl转换为verilog_VHDL和Verilog谁更胜一筹

今天给大家分享一个VHDL和Verilog的工具。很多新手初次学习FPGA都曾遇到过一个问题:是学Verilog OR VHDL
2020-08-25 09:22:056116

VHDL测试平台编写综述

本文概述了VHDL测试台和其他相关主题。它是为一个数字设计工程师编写的,他几乎没有VHDL或编程经验,以便更好地理解VHDL的编写和测试台的使用。并对VHDL的发展前景进行了展望。
2021-01-20 15:17:1419

VHDL的参考手册免费下载

本手册讨论VHDL和Synario可编程IColution。本手册旨在补充可编程IC入门手册中的材料本手册中讨论了以下主题HDL语言结构如何编写可合成的VHDL如何控制VHDL设计的实现VHDL数据
2021-01-21 16:02:1332

VHDL最经典的参考指南资料免费下载

VHDL黄金参考指南是一个紧凑的快速参考指南VHDL语言,其语法,语义,综合和应用程序的硬件设计。《VHDL黄金参考指南》并不打算取代IEEE标准VHDL语言参考手册。与该文档不同的是,《黄金
2021-01-21 16:30:5431

VHDL语言的详细讲解学习课件免费下载

本文档的主要内容详细介绍的是VHDL语言的详细讲解学习课件免费下载包括了: ⅥHLD概述 VHLD的一些基本概念 VHDL的数据对象,数据类型及类型转换,运算符等 VHDL的顺序描述语句 VHDL
2021-01-22 17:52:1416

如何使用ModelSim在VHDL中实现RAM

在本教程中,我们将探索如何使用 ModelSim 在 VHDL 中实现 RAM。
2022-07-29 16:34:371922

VHDL语法学习笔记

VHDL 的 英 文 全 名 是 Very-High-Speed Integrated Circuit Hardware DescriptionLanguage,诞生于 1982 年。 1987
2023-02-10 17:42:460

vhdl描述半加器

vhdl描述半加器
2023-02-24 11:08:310

VHDL仲裁器开源分享

电子发烧友网站提供《VHDL仲裁器开源分享.zip》资料免费下载
2023-06-13 16:23:150

已全部加载完成