电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>编程语言及工具>什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言_简述vhdl语言的特点

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

VHDL语言在EDA仿真中的应用

EDA技术彻底改变了数字系统的设计方法和实现手段,借助于硬件描述语言的国际标准VHDL 和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大
2011-04-11 11:34:471842

VHDL特点是什么?在EDA仿真中的应用是什么?

VHDL特点是什么VHDL语言在EDA仿真中的应用
2021-04-29 06:01:15

VHDL语言

本帖最后由 eehome 于 2013-1-5 09:46 编辑 这是几年前从网络下载的VHDL语言学习资料,对初学者有一定的帮助作用。原作者未注明姓名。但要谢谢他(她)。实用教程16M多,因此,拆分成两个文档上传。
2012-08-13 10:57:05

VHDL语言100例详解

本帖最后由 eehome 于 2013-1-5 09:51 编辑 VHDL语言100例详解
2012-08-20 20:45:49

VHDL语言100例详解 (含代码)

VHDL语言100例含代码,喜欢的收藏!
2015-07-19 15:01:51

VHDL语言基础

VHDL语言基础
2012-08-15 17:36:58

VHDL语言是什么可以用来干嘛?

VHDL语言是什么可以用来干嘛
2023-10-18 07:34:39

VHDL语言的程序结构与数据类型

VHDL语言的程序结构与数据类型第2节 VHDL语言的程序结构与数据类型[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点
2009-03-19 14:52:00

VHDL语言要素

VHDL语言要素,需要的朋友可以看看。
2017-02-05 14:31:26

VHDL语言详解

希望了解VHDL语言的可以看下。。内容比较通俗,详细。
2008-11-14 10:42:48

VHDL语言详解(修正版)

本书以简洁的表述讲解VHDL语言。并赋予图片讲解。是初学者必备资料。个人强烈推荐此书。
2013-08-22 20:47:26

VHDL语言详解(修正版).pdf

VHDL语言详解(修正版)希望对需要的人有帮助
2013-06-28 13:00:18

Vhdl语言教程

跟大家共享两个vhdl语言教程,希望对大家有所帮助
2013-12-07 04:10:48

vhdl语言

如何用VHDL 语言实现右移位啊?求大神帮看看为什么实现不了右移位?library ieee;use ieee.std_logic_1164.all;use
2016-05-28 15:46:38

vhdl语言与flash芯片读写

我想把一个正弦波的数据点集先写入flash芯片(现在开发板上是JS28F320J3D75芯片),然后读取出来,新手不知该如何下手,我用的vhdl语言,有没有做过的?求大神们指点。。。
2015-11-03 14:53:56

vhdl语言实例大全下载

vhdl语言实例大全下载 
2008-05-20 09:36:01

vhdl语言教程精华

VHDL语言教程精华
2013-11-17 13:12:02

vhdl是什么

,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL语言形式、描述风格以及语法是十分类似于一般的计算机高级语言VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路
2015-09-30 13:48:29

CPLD系统设计及VHDL语言的视频教程

各位好,请问哪里有免费下载的 CPLD系统设计及VHDL语言的视频教程?是天祥的。淘宝里有好多卖的,可是要淘宝帐户和钱呀?
2008-07-20 10:29:10

MaxplusII-VHDL语言入门

MaxplusII-VHDL语言入门
2008-07-24 15:47:41

PID的VHDL语言代码

PID的VHDL语言代码学学! [hide][/hide]
2009-11-27 09:48:45

一句verilog语言转成VHDL语言的问题

小弟遇到一个问题需要把一句verilog语言VHDL语言表达出来,语言如下:adc_data_out[15:14]
2014-09-17 10:00:21

使用VHDL语言设计FPGA有哪些常见问题?

请问使用VHDL语言设计FPGA有哪些常见问题?
2021-05-06 09:05:31

基于CPLD和FPGA的VHDL语言电路优化设计

成为描述、验证和设计数字系统中最重要的标准语言之一。由于VHDL在语法和风格上类似于高级编程语言,可读性好,描述能力强,设计方法灵活,可移植性强,因此它已成为广大EDA工程师的首选。目前,使用VHDL
2019-06-18 07:45:03

如何用VHDL语言实现帧同步的设计?

帧同步是什么工作原理?如何用VHDL语言实现帧同步的设计?
2021-04-08 06:33:59

应用VHDL语言的FFT算法实现

应用VHDL语言的FFT算法实现
2012-08-20 20:17:57

怎么利用CPLD/FPGA的VHDL语言优化电路?

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件
2019-08-28 08:05:46

怎么设计优化VHDL语言电路?

什么是VHDLVHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着
2019-08-08 07:08:00

有关FPGA开发语言VHDL和Verilog的疑惑

本人小菜鸟,开始学FPGA的时候学的Verilog语言,后来因为课题组前期的工作都是VHDL就该学VHDL了。最近听了几个师兄的看法,说国内用VHDL的已经很少了,建议我还是坚持用Verilog,小菜现在好纠结,请问到底应该用哪种语言呢?望各位大神指点!
2015-07-08 10:07:56

模拟IC设计与使用VHDL语言设计IC的区别

感觉模拟IC设计就应该是设计模拟电路.设计运放等,通过设计电路、在硅片上搭建TTL.CMOS......从而做成IC芯片;而我经常看到说IC设计就是使用VHDL语言设计IC,写好VHDL语言后烧录到FPGA.CPLD.......从而做成芯片。我想问的是这两者有什么区别?
2018-08-29 09:45:43

请问VHDL语言和verilog语言有什么区别?

VHDL语言和verilog语言有何区别
2019-03-28 06:52:52

请问VHDL语言和verilog语言有什么区别?

VHDL语言和verilog语言有何区别
2019-03-29 07:55:09

X-HDL v3.2.55 VHDL/Verilog语言翻译器

X-HDL:软件简介—SoftWare Description: X-HDL v3.2.55 VHDL/Verilog语言翻译器 一款VHDL/Verilog语言翻译器。可实现VHDL和Verilog语言的相互智能化转化。这分别是windows、linux、solaris版本。
2006-03-25 12:00:47355

VHDL硬件描述语言教学.

VHDL硬件描述语言教学:包括fpga讲义,VHDL硬件描述语言基础,VHDL语言的层次化设计的教学幻灯片
2006-03-27 23:46:4993

VHDL语言100例下载

VHDL语言100例第1例 带控制端口的加法器第2例 无控制端口的加法器第3例 乘法器第4例 比较器第5例 二路选择器第6例 寄存器第7例 移位寄存器第8例 综合单元库
2008-05-20 09:58:18441

vhdl语言ppt

VHDL的定义和功能VHDL的发展概况程序编程语言和硬件描述语言的对比引入硬件描述语言对系统进
2008-09-03 12:58:4139

VHDL硬件描述语言 pdf

全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6
2008-09-11 15:45:271333

VHDL语言及其应用 pdf

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-02-12 09:41:38172

VHDL语言的程序结构与数据类型

[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点]重点:VHDL语言的程序结构;VHDL语言的数据类型及数
2009-03-18 20:02:3547

基于VHDL语言的IP核验证

探讨了IP 核的验证与测试的方法及其和VHDL 语言在IC 设计中的应用,并给出了其在RISC8 框架CPU 核中的下载实例。关键词:IP 核;片上系统;验证
2009-06-15 10:59:1432

VHDL语言及其应用

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-07-10 17:21:4418

VHDL语言及其应用

VHDL语言及其应用的主要内容:第一章 硬件模型概述第二章 基本的VHDL编程语言第三章 VHDL模型的组织第四章 VHDL综合工具第五章 VHDL应用样例附录A VHDL
2009-07-20 12:06:150

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言( 硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

使用VHDL语言中几个常见问题的探讨

结合应用MaxplusⅡ软件进行VHDL 语言代码编写的经验,阐述使用VHDL 语言的过程中比较常见的几个问题。
2009-09-10 16:19:2425

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

VHDL基础教程

VHDL基础教程:VHDL语言及其应用目录:第1章 VHDL基本概念 1.1 数字系统建模 1.2 建模的域和级 1.3 建模语言 1.4 VHDL建模的概念 1.5 一个VHDL设计实例 1 6
2009-10-16 18:17:58357

vhdl是什么意思

vhdl是什么意思 VHDL 语言的英文全名是 Very High Speed Integrated Circuit Hardware Description Language ,即超高速集
2008-09-02 12:55:597731

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备
2009-03-13 19:23:571998

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器
2009-03-13 19:26:582368

VHDL语言应用实例指导

VHDL语言应用实例指导 VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则
2009-03-20 14:15:532064

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

基于VHDL语言的智能拨号报警器的设计

基于VHDL语言的智能拨号报警器的设计 介绍了以EDA技术作为开发手段的智能拨号报警系统的实现。本系统基于VHDL语言,采用FPGA作为控制核心,实现了远程防盗报警。该
2009-10-12 19:08:431167

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

采用CPLD/FPGA的VHDL语言电路优化原理设计

采用CPLD/FPGA的VHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

VHDL基本语言现象和实用技术教程

本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA
2011-03-03 15:47:130

VHDL语言在状态机电路中的设计

简要介绍了 VHDL 语言进行工程设计的优点,并详细说明了利用VHDL语言设计状态机电电路的过程,最后进行了仿真,仿真结果证明该设计能够实现状态机电路的功能。
2011-07-18 10:31:2083

VHDL语言中信号的不同形式设置

摘要: 通过一个偶同位产生器逻辑功能的实现过程,介绍了VHDL语言中信号设置的不同方 式及注意事项,并给出了完整的程序代码。 关键词: VHDL;程序 1 概述 VHDL是一种快速的 电路
2011-10-06 08:48:281091

VHDL语言程序设计及应用(第二版)_姜立东

电子发烧友网站提供《VHDL语言程序设计及应用(第二版)_姜立东.txt》资料免费下载
2015-07-15 15:20:250

VHDL语言快速入门必读

VHDL语言快速入门,很精练的语言总结,希望大家有用到
2015-10-29 18:24:4631

基于CPLD的VHDL语言数字钟(含秒表)设计

基于CPLD的VHDL语言数字钟(含秒表)设计
2015-11-04 15:14:369

硬件描述语言VHDL入门

vhdl语言,第二章介绍。关于数字系统设计方面的知识。
2016-01-18 14:41:550

硬件描述语言VHDL简介

硬件描述语言VHDL简介,好东西,喜欢的朋友可以下载来学习。
2016-02-19 17:19:500

VHDL语言在MAXPLUS软件的设计案例

VHDL语言在MAXPLUS软件的设计案例,好东西,喜欢的朋友可以下载来学习。
2016-02-19 17:18:550

FM收音机的解码及控制器VHDL语言实现

Xilinx FPGA工程例子源码:FM收音机的解码及控制器VHDL语言实现
2016-06-07 14:13:4311

VHDL数字电路设计与应用实践教程

这本VHDL书籍,配套学习VHDL语言时从简到难的例子,极大地帮助学习VHDL硬件编辑语言的初学者,如果是刚学完不就VHDL语言,下篇也是非常有益的例子,例子非常详细。
2016-08-03 18:36:2520

VHDL语言(修改)

VHDL语言(修改)有需要的朋友下来看看
2016-08-05 17:32:5324

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

硬件描述语言VHDL

硬件描述语言VHDL的学习文档,详细的介绍了VHDL
2016-09-02 17:00:5312

VHDL语言要素

VHDL语言要素,大学EDA课程必备资料,在实际的应用中,VHDL仿真器讲INTEGER类型的数据作为有符号数处理,而综合器将INTEGER作为无符号数处理. VHDL综合器要求利用RANGE子句
2016-11-21 15:40:340

VHDL语言的LCD12864

电子设计研发部分的研发人员常用资料——VHDL语言的LCD12864。
2016-11-03 14:26:190

VHDL硬件描述语言的学习

在小规模数字集成电路就要淘汰的今天,作为一个电类专业的毕业生应该熟悉VHDL语言和CPLD、FPGA器件的设计,阎石教授新编写的教材也加入了VHDL语言方面的内容,可见使用VHDL语言将数字系统集成
2017-12-05 09:00:3120

8位移位寄存器vhdl代码

VHDL作为电路的硬件描述语言,并且已经在电路中得到了广泛的运用。本文主要介绍了什么是vhdlvhdl有哪些特点vhdl的优势以及详细的说明了8位移位寄存器vhdl代码详情。
2017-12-22 15:11:0116224

VHDL语言设计四人抢答器(三种设计方案)

本文为大家带来三种四人抢答器的VHDL语言设计方案介绍。
2018-01-29 15:25:0435230

硬件描述语言VHDL优点及缺点

1987年, VHDL被正式确定为IEEE 1076标准。 VHDL是一种强类型语言, 具有丰富的表达能力, 可使各种复杂度(系统级、 电路板级、 芯片级、 门级)的电路网络在同一抽象程度上被描述
2018-03-30 11:20:159

VHDL语言设计实体的基本结构

VHDL语言是一种在EDA设计中广泛流行的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL语言的句法、语言形式和描述风格十分类似于一般的计算机高级语言,是目前硬件描述语言中应用最为广泛的一种。
2018-03-30 16:04:2721

VHDL语言编程用什么编译软件_需要看哪方面的书籍

本文主要介绍了VHDL语言编程用什么编译软件以及学习VHDL语言需要看哪方面的书籍,最后还阐述了学习VHDL语言应注意的几个问题盘点。
2018-05-17 17:50:4831921

VHDL教程之VHDL语言元素的详细资料概述

本文档的主要内容详细介绍的是VHDL教程之VHDL语言元素的详细资料概述一内容包括了:1. VHDL语言的客体2 VHDL语言的数据类型3 VHDL数据类型转换4 VHDL词法规则与标识符
2018-11-05 08:00:000

VHDL硬件描述语言入门教程资料免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1. VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合
2019-04-08 08:00:0041

vhdl语言怎么仿真_vhdl语言的基本结构

VHDL程序中,实体(ENTITY)和结构体(ARCHITECTURE)这两个基本结构是必须的,他们可以构成最简单的VHDL程序。通常,最简单的VHDL程序结构中还包含另一个最重要的部分,即库(LIBRARY)和程序包(PACKAGE)。
2020-04-23 15:43:384224

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。
2020-04-23 15:51:032362

100个VHDL语言例程代码实例资料免费下载

本文档的主要内容详细介绍的是100个VHDL语言例程代码实例资料免费下载。
2020-12-22 17:07:1651

VHDL语言基础的学习课件免费下载

本文档的主要内容详细介绍的是FPGA VHDL语言基础的学习课件免费下载。
2021-01-21 16:30:0026

VHDL语言介绍及设计的详细资料说明

VHDL 语言的英文全名为Very High Speed IntegratedCircuit Hardware Description Language,即超高速集成电路硬件描述语言
2021-01-21 17:03:176

VHDL硬件描述语言的学习课件免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言的学习课件免费下载包括了:VHDL概述,VHDL数据类型与数据对象,VHDL命令语句
2021-01-22 08:00:005

VHDL语言的详细讲解学习课件免费下载

本文档的主要内容详细介绍的是VHDL语言的详细讲解学习课件免费下载包括了: ⅥHLD概述 VHLD的一些基本概念 VHDL的数据对象,数据类型及类型转换,运算符等 VHDL的顺序描述语句 VHDL
2021-01-22 17:52:1416

VHDL与Verilog硬件描述语言如何用TestBench来进行仿真

VHDL与Verilog硬件描述语言在数字电路的设计中使用的非常普遍,无论是哪种语言,仿真都是必不可少的。而且随着设计复杂度的提高,仿真工具的重要性就越来越凸显出来。在一些
2021-08-04 14:16:443307

基于VHDL语言中数字钟的整点报时源代码下载

基于VHDL语言中数字钟的整点报时源代码下载
2021-12-15 14:52:562

VHDL语言100例

本例针对一个典型的加法器进行VHDL语言的描述,比较特殊的是该加法器带有一个控制端口。它用于完成两个位向量的相加:其电路系统示意图如图1.1所示。
2022-11-03 16:12:282

基于VHDL语言的循环码编译码系统的设计

电子发烧友网站提供《基于VHDL语言的循环码编译码系统的设计.pdf》资料免费下载
2023-10-13 14:31:371

基于VHDL语言实现远程防盗报警设计

电子发烧友网站提供《基于VHDL语言实现远程防盗报警设计.pdf》资料免费下载
2023-11-08 14:33:110

已全部加载完成