0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA上的引脚和区域约束语法介绍

Hx 作者:工程师陈翠 2018-07-14 02:49 次阅读

引脚和区域约束也就是LOC约束(location)。定义了模块端口FPGA上的引脚的对应关系。

那么我们应该怎么写呢?

LOC有固定语法:

INST “instance_name” LOC = location; #location可以是多个合法位置,用逗号隔开。这种多位置约束是在布线的时候布线器选择一个进行布线。

范围定位语法:

INST “instance_name” LOC = location:location[SOFT];

使用LOC完成端口定义语法:

NET “Top_Module_PORT” = “Chip_Port”; #LOC的语句存在优先级,连线具有最高优先级

LOC有较多属性,对引脚约束位置,CLBs,Slice,TBUF,块RAM,硬核乘法器,全局时钟(GCLKBUF0,GCLKPAD0),DLL,DCM等。

LOC的常用定位语句:

INST “instance_name” LOC = P12; #将IO引脚分给实例信号

INST “instance_name” LOC = CLN_R3C5; #将逻辑置于3行,5列的CLB中的任何一个Slice。

别的定位语句也就是把后面的LOC的位置改为MULT18X18_X0Y6(位置为乘法器MULT18X18的xy网格的(0,6)),clb_r4c5.s1,clb_r4c6.*定义的是触发器置于4行5列CLB和4行6列的CLB中最右端的Slice中。

现在再看一下引脚约束文件,发现这个应该有一个专门的做芯片的一个方向,那就是布线。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593195
收藏 人收藏

    评论

    相关推荐

    Xilinx FPGA管脚物理约束介绍

    引言:本文我们简单介绍下Xilinx FPGA管脚物理约束,包括位置(管脚)约束和电气约束
    发表于 07-25 10:13 4280次阅读

    FPGA时序约束之衍生时钟约束和时钟分组约束

    FPGA设计中,时序约束对于电路性能和可靠性非常重要。在上一篇的文章中,已经详细介绍FPGA时序约束的主时钟
    发表于 06-12 17:29 1438次阅读

    FPGA时序约束之伪路径和多周期路径

    前面几篇FPGA时序约束进阶篇,介绍了常用主时钟约束、衍生时钟约束、时钟分组约束的设置,接下来
    发表于 06-12 17:33 994次阅读

    FPGA学习】如何使用 ISE 编写约束文件

    完成顶层模块的实现并且仿真正确后,还需要编写用户约束文件,其中引脚约束文件是必不可少的,它将模块的端口和 FPGA 的管脚对应起来。具体步骤如下。(1)创建
    发表于 09-29 09:18

    fpga的输出引脚状态是什么

    当未使用的输出在约束文件中注释掉并且显然未在项目中声明时,fpga的输出引脚状态是什么 - 特别是对于Vivado 14.4 Verilog。在一些示例中,未使用的输出端口在
    发表于 04-01 07:26

    FPGA新手解惑—FPGA引脚配置技巧

    逻辑进行约束的,你在下面可以看到一些值。介绍几个吧。bidrecTIonal:双向,tri-state:三态等等。这个约束的是FPGA在IO端的输入输出
    发表于 06-17 08:55

    关于蜂鸟FPGA约束文件和MCU200T引脚对应问题

    ①蜂鸟FPGA约束文件是适用于MCU200T板子吗? ②如果适用,那么在FPGA约束文件中的引脚约束
    发表于 08-16 06:58

    FPGA约束设计和时序分析

    在进行FPGA的设计时,经常会需要在综合、实现的阶段添加约束,以便能够控制综合、实现过程,使设计满足我们需要的运行速度、引脚位置等要求。通常的做法是设计编写约束文件并导入到综合实现工具
    发表于 09-21 07:45

    赛灵思(Xilinx)FPGA用户约束文件的分类和语法说明

    FPGA设计中的约束文件有3类:用户设计文件(.UCF文件)、网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束
    发表于 02-11 06:33 1456次阅读

    FPGA约束的详细介绍

    介绍FPGA约束原理,理解约束的目的为设计服务,是为了保证设计满足时序要求,指导FPGA工具进行综合和实现,
    发表于 06-25 09:14 6432次阅读

    XDC时钟约束的三种基本语法

    XDC 是 Xilinx Design Constraints 的简写,但其基础语法来源于业界统一的约束规范SDC。XDC 在本质上就是 Tcl 语言,但其仅支持基本的 Tcl 语法如变量、列表
    的头像 发表于 01-30 17:29 8953次阅读

    FPGA语法注释介绍资料免费下载

    本文档的主要内容详细介绍的是FPGA语法注释介绍资料免费下载。
    发表于 04-25 08:00 2次下载
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>语法</b>注释<b class='flag-5'>介绍</b>资料免费下载

    FPGA设计之时序约束

    上一篇《FPGA时序约束分享01_约束四大步骤》一文中,介绍了时序约束的四大步骤。
    发表于 03-18 10:29 1358次阅读
    <b class='flag-5'>FPGA</b>设计之时序<b class='flag-5'>约束</b>

    常用时序约束介绍之基于ISE的UCF文件语法

    时序约束是我们对FPGA设计的要求和期望,例如,我们希望FPGA设计可以工作在多快的时钟频率下等等。因此,在时序分析工具开始对我们的FPGA设计进行时序分析前,我们必须为其提供相关的时
    的头像 发表于 12-28 15:18 2033次阅读

    Xilinx FPGA约束设置基础

    LOC约束FPGA设计中最基本的布局约束和综合约束,能够定义基本设计单元在FPGA芯片中的位置,可实现绝对定位、范围定位以及
    发表于 04-26 17:05 39次阅读
    Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>约束</b>设置基础