0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简述Xilinx FPGA管脚物理约束解析

FPGA之家 来源:CSDN技术社区 作者:通信电子@FPGA高级 2021-04-27 10:36 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

引言:本文我们简单介绍下Xilinx FPGA管脚物理约束,包括位置(管脚)约束和电气约束。

1. 普通I/O约束

管脚位置约束: set_property PAKAGE_PIN “管脚编号” [get_ports “端口名称”]

管脚电平约束: set_property IOSTANDARD “电压” [get_ports “端口名称”]

举例:

set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]

set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]

set_property PACKAGE_PIN U18 [get_ports sys_clk]

set_property PACKAGE_PIN M14 [get_ports {led[0]}

]set_property PACKAGE_PIN M15 [get_ports {led[1]}]

注意:

1)以上语法对大小写敏感;

2)端口名称为数组时,需要用{}括起来,端口名不能为关键字。

2. 差分信号约束

2.1 普通差分约束

差分信号约束语法和1节中相同。此处仅举例。

1)HR I/O Bank,VCCO = 3.3V,HDMI接口约束

set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]

set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}]

set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_p]

set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[0]}]

2)HP I/O Bank,VCCO = 1.8V,HDMI接口约束

set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]

set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}

]set_property IOSTANDARD LVDS [get_ports TMDS_clk_p]

set_property IOSTANDARD LVDS [get_ports {TMDS_data_p[0]}]

注意:

1)差分信号约束,只约束P管脚即可,系统自动匹配N管脚约束,当然_P和_N管脚都约束也没有问题;

2)差分信号电平要根据VCCO Bank电压进行相应的约束。

2.2收发器差分信号约束

1)收发器MGTREFCLK时钟约束管脚位置约束:

set_property LOC “管脚编号” [get_ports “端口名称”]

举例:

set_property LOC G7 [get_ports Q2_CLK0_GTREFCLK_PAD_N_IN ]

set_property LOC G8 [get_ports Q2_CLK0_GTREFCLK_PAD_P_IN ]

2)收发器MGT通道约束

对于GTXE2_CHANNEL通道约束:一种方法是可以利用7系列FPGAs收发器向导,在配置好收发器配置参数后,自动生成XDC模板,然后将该模板应用到自己的设计中;第二种方法是自己编写XDC约束文件,其位约束位置要参照具体原理图信号管脚来进行编写约束文件。举例:对于图1中四通道收发器对GTXE2_CHANNEL约束。

171a04da-a67f-11eb-aece-12bb97331649.jpg

图1、四通道GTX收发器框图

收发器通道位置约束:

set_property LOC “ GTXE2_CHANNEL_X* Y * ” [get_cells “gtxe_2例化路径”]

举例:

1749efe2-a67f-11eb-aece-12bb97331649.jpg

图2、收发器通道位置约束

注意:gtxe_2例化路径参照图3所示,路径名称依据具体工程实现进行修改。

17712f8a-a67f-11eb-aece-12bb97331649.jpg

图3、gtxe_2例化路径参照图
编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 管脚
    +关注

    关注

    1

    文章

    230

    浏览量

    33459
  • 约束
    +关注

    关注

    0

    文章

    83

    浏览量

    13116
  • Xilinx FPGA
    +关注

    关注

    1

    文章

    29

    浏览量

    7376

原文标题:Xilinx FPGA管脚XDC约束之:物理约束

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    使用Xilinx 7系列FPGA的四位乘法器设计

    (Shinshu University)研究团队的最新设计中,一个专为 Xilinx 7 系列 FPGA 量身打造的 4 位乘法器使用了仅 11 个 LUT + 2 个 CARRY4 块,关键路径延迟达到 2.75 ns。这是一次令人印象深刻的工艺优化实践。
    的头像 发表于 11-17 09:49 2737次阅读
    使用<b class='flag-5'>Xilinx</b> 7系列<b class='flag-5'>FPGA</b>的四位乘法器设计

    Xilinx FPGA串行通信协议介绍

    Xilinx FPGA因其高性能和低延迟,常用于串行通信接口设计。本文深入分析了Aurora、PCI Express和Serial RapidIO这三种在Xilinx系统设计中关键的串行通信协议。介绍了它们的特性、优势和应用场景
    的头像 发表于 11-14 15:02 1901次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>串行通信协议介绍

    请问如何将蜂鸟E203移植到Xilinx NEXYS A7 FPGA 开发板上?

    如何将蜂鸟E203移植到Xilinx NEXYS A7 FPGA 开发板上?有参考教程吗?小白求教 主要是引脚分配,我这边有移植到Xilinx Artix-7 系列XC7A100T-fgg484的案
    发表于 11-11 07:44

    开源RISC-V处理器(蜂鸟E203)学习(二)修改FPGA综合环境(移植到自己的Xilinx FPGA板卡)

    1.简述 首先感谢芯来开源了蜂鸟E203 risc-v处理器,提供了比较完整的工程环境、配套的软硬件。但是配套的FPGA板卡实在太贵,对于自费学习的来说是不小的学习成本,而且我也认为完备环境
    发表于 10-31 08:46

    DBC解析入门:从数字到物理意义的转变

    在汽车电子系统中,数据通信至关重要,而DBC解析是理解总线通信数据的关键。本文将带你了解DBC解析如何将复杂的数字信号转换为直观的物理参数,帮助你快速掌握其核心概念和应用。DBC解析
    的头像 发表于 10-30 11:44 260次阅读
    DBC<b class='flag-5'>解析</b>入门:从数字到<b class='flag-5'>物理</b>意义的转变

    E203工程源码时钟树解析

    我们使用的是芯来科技提供的hbirdv2_E203软核以及芯来科技MCU200T开发板,板上的FPGA芯片是XILINX的XC7A200T-FBG484。 通过分析顶层模块MCU200T
    发表于 10-29 07:25

    一文详解xilinx 7系列FPGA配置技巧

    本文旨在通过讲解不同模式的原理图连接方式,进而配置用到引脚的含义(手册上相关引脚含义有四、五页,通过本文理解基本上能够记住所有引脚含义以及使用场景),熟悉xilinx 7系列配置流程,以及设计原理图时需要注意的一些事项,比如flash与FPGA的上电时序。
    的头像 发表于 08-30 14:35 8908次阅读
    一文详解<b class='flag-5'>xilinx</b> 7系列<b class='flag-5'>FPGA</b>配置技巧

    XILINX XCZU67DR FPGA完整原理图

    电子发烧友网站提供《XILINX XCZU67DR FPGA完整原理图.pdf》资料免费下载
    发表于 05-30 15:29 3次下载

    FPGA时序约束之设置时钟组

    Vivado中时序分析工具默认会分析设计中所有时钟相关的时序路径,除非时序约束中设置了时钟组或false路径。使用set_clock_groups命令可以使时序分析工具不分析时钟组中时钟的时序路径,使用set_false_path约束则会双向忽略时钟间的时序路径
    的头像 发表于 04-23 09:50 968次阅读
    <b class='flag-5'>FPGA</b>时序<b class='flag-5'>约束</b>之设置时钟组

    国外物理服务器详细解析

    国外物理服务器是指位于国外数据中心的物理设备,用于提供互联网服务。以下是对国外物理服务器的详细解析,主机推荐小编为您整理发布国外物理服务器详
    的头像 发表于 02-07 09:36 702次阅读

    xilinx FPGA IOB约束使用以及注意事项

    xilinx FPGA IOB约束使用以及注意事项 一、什么是IOB约束xilinx FPGA
    的头像 发表于 01-16 11:02 1488次阅读
    <b class='flag-5'>xilinx</b> <b class='flag-5'>FPGA</b> IOB<b class='flag-5'>约束</b>使用以及注意事项

    【米尔-Xilinx XC7A100T FPGA开发板试用】Key-test

    硬件: 一Xilinx XC7A100T FPGA开发板 二12V电源适配器 三下载器 四 win10笔记本 软件: 一Vivado (指导手册有详细的安装下载流程) 二官方按键示例工程 按键示例
    发表于 01-09 16:08

    基于Xilinx ZYNQ7000 FPGA嵌入式开发实战指南

    电子发烧友网站提供《基于Xilinx ZYNQ7000 FPGA嵌入式开发实战指南.pdf》资料免费下载
    发表于 12-10 15:31 39次下载

    调试Xilinx Zynq + ADS58C48,ADC使用的是LVDS模式,ADC不能正常工作怎么解决?

    我正在调试Xilinx Zynq + ADS58C48,ADC使用的是LVDS模式,ADC不能正常工作。有以下几点问题: 1)通过Xilinx FPGA差分原语输给ADC一个10MHz的差分时
    发表于 12-10 07:34

    【米尔-Xilinx XC7A100T FPGA开发板试用】测试一

    感谢米尔电子和电子发烧友提供的米尔-Xilinx XC7A100T FPGA开发板。 MYD-J7A100T用的 FPGAXILINX 公司 ARTIX-7 系列的 XC 7A1
    发表于 12-08 08:48