0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2nm,成为决胜点

芯长征科技 来源:半导体行业观察 2023-09-01 14:55 次阅读

英特尔Intel)执行长季辛格(Pat Gelsinger)自上任之始,提出4年5个制程的计划,并在近日分割了芯片设计和晶圆代工部门(IFS),引发各界关注。英特尔表示,目前预期 Intel 3 及 18A 两个节点,在未来会有较多外部客户采用。

英特尔近年在芯片制程赛道上卯足全力追赶,继去年成功量产Intel 4(约为台积电7纳米)后,Intel 3也即将在2023下半年进入准备量产阶段(Manufacturing Ready)。同时,市场也接连传出部分台积电客户有意下单英特尔,以分散供应链风险,诸如联发科英伟达(Nvidia)等大厂都被点名。

要在4年内追赶5个制程,英特尔的晶圆代工策略也逐渐明朗。内部评估,Intel 4和Intel 3制程能效相近,客户很可能只会择一制程下单,而同样情况也将发生在Intel 20A和Intel 18A制程上。因此,Intel 3与Intel 18A将是英特尔于晶圆代工上,与台积电、三星一较高下的武器。至于Intel 4和Intel 20A,相关人士指出英特尔将主要用于自家产品

不过英特尔表示, 晶圆代工部门的重点在于商业模式的转变,以客户为导向 。因此若客户希望下单Intel 4和Intel 20A,英特尔仍然张开双手欢迎,但不会主动提供。

晶体管效能来看,消息人士指出,Intel 4效能落在台积电5至7纳米间;Intel 20A的效能则介于2和3纳米;至于18A(1.8纳米)则可想成台积电2纳米的升级版(2nm+),这也让先进制程之争将在2纳米时更加白热化。

倘若开发顺利,台积电、三星和英特尔都将在2025年量产2纳米,成为下个世代的关键战役。截至目前为止,三巨头对于2纳米的开发,皆表示一切都在轨道(on track/schedule)上。

虽然日前天风证券分析师郭明錤指出,高通(Qualcomm)停止开发采用Intel 20A的处理器,转而投向台积电3纳米,指出少了高通可能会让英特尔诸如背后供电等新技术的成长线趋缓。如同台积电与苹果(Apple)之间存有新一代制程「试错」的伙伴关系,台积电新一代制程的良率快速成长,与苹果的大量下单以及反馈有关。

不过一位业内人士认为,相似的先进制程技术之间本就存有淘汰作用,20A和18A的技术相近,很难保证高通是否也同时投入更先进的18A制程。

同时该名业内人士也认为,对IC设计厂来说投资的规模不会在一两年内立刻收回来,因此若没有显著的差异,部分节点不见得会被大量采用,他认为这样的情况也可能出现在台积电,如在2纳米和1.4纳米,最终也可能只剩下一个节点。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5289

    浏览量

    164820
  • 晶体管
    +关注

    关注

    77

    文章

    9059

    浏览量

    135249
  • 先进制程
    +关注

    关注

    0

    文章

    66

    浏览量

    8330

原文标题:2nm,成为决胜点

文章出处:【微信号:芯长征科技,微信公众号:芯长征科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    电子发烧友网报道(文/李宁远)近日有消息称,台积电将组建2nm任务团冲刺2nm试产及量产。根据相关信息,这个任务编组同时编制宝山及高雄厂量产前研发(RDPC)团队人员,将成为协助宝山厂及高雄厂厂务
    的头像 发表于 08-20 08:32 2170次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战2025

    苹果2nm芯片曝光,性能提升10%-15%

    据媒体报道,目前苹果已经在设计2nm芯片,芯片将会交由台积电代工。
    的头像 发表于 03-04 13:39 358次阅读

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺。
    的头像 发表于 01-31 14:09 300次阅读

    台积电在2nm制程技术上展开防守策略

    台积电的2nm技术是3nm技术的延续。一直以来,台积电坚定地遵循着每一步一个工艺节点的演进策略,稳扎稳打,不断突破。
    发表于 01-25 14:14 131次阅读

    三大芯片巨头角逐2nm技术

    过去数十年里,芯片设计团队始终专注于小型化。减小晶体管体积,能降低功耗并提升处理性能。如今,2nm及3nm已取代实际物理尺寸,成为描述新一代芯片的关键指标。
    的头像 发表于 12-12 09:57 236次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 849次阅读

    将铜互连扩展到2nm的研究

    晶体管尺寸在3nm时达到临界点,纳米片FET可能会取代finFET来满足性能、功耗、面积和成本目标。同样,正在评估2nm铜互连的重大架构变化,此举将重新配置向晶体管传输电力的方式。
    的头像 发表于 11-14 10:12 213次阅读
    将铜互连扩展到<b class='flag-5'>2nm</b>的研究

    台积电有望2025年量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025年量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新竹宝山、高雄两座工厂的2nm芯片计划2024年试产
    的头像 发表于 10-20 12:06 977次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺制程
    的头像 发表于 10-19 17:06 915次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
    的头像 发表于 10-19 16:59 2386次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意味着台积电工艺正式进入GAA时代。
    的头像 发表于 08-07 16:22 494次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入
    的头像 发表于 07-18 15:19 728次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 501次阅读
    <b class='flag-5'>2nm</b>大战 全面打响

    半导体企业如何决胜2023秋招?

    ! 助力各位真正提升招聘效率! 本次大同学吧联合 上海思将企业管理咨询有限公司 (半导体HR公会) 上海肯耐珂萨人力资源科技股份有限公司 为大家带来 《2023集成电路行业秋招战略布局决胜点》 线上直播
    发表于 06-01 14:52