0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado调用Modelsim仿真

FPGA学习笔记 来源:FPGA学习笔记 作者:FPGA学习笔记 2023-07-24 09:04 次阅读

Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。另外如果需要了解Vivado自带仿真软件如何使用可以移步下面的文章:https://www.elecfans.com/d/2185798.html

1、vivado仿真库设置

首先点击Tools,然后点击Compile Simulation Libraries进入仿真库设置界面

wKgaomS9PFqAXf4vAAB28yDKffU771.png

在此页面中进行配置,仿真器Simulator选择Modelsim Simulator;底下三项全部选择默认All;之后<在Modelsim安装路径之下新建文件夹,可以命名为“vivado版本_lib"为库的存放地址>,之后在Complied library location位置选择该文件夹;下方的Simulator executable path 选择ModelSim安装路径下的win64文件夹,之后点击Compile即可进行编译完成仿真库配置。

2、Modelsim.ini文件修改

之后需要对Modelsim.ini文件进行修改导入vivado文件的库

wKgZomS9PH6AJjU6AABNvii3iuc225.pngwKgaomS9PIuAY2OUAABW7R_o6dA204.png

进入Modelsim安装路径点击vivadoxxx_lib之后在其中打开modelsim.ini文件

wKgZomS9PJSAWq5dAAAkjeGrN00693.png

再在Modelsim安装根目录打开modelsim.ini文件<如果文件有只读属性需要关闭>

wKgaomS9PKGASaldAABgCT1EI7M653.pngwKgZomS9PKyAKlJUAAAsuKVzH2Q480.png

之后将vivadoxxx_lib中的modelsim.ini文件的如下内容进行复制,粘贴到后者之中并保存

3、vivado仿真设置更改

打开vivado右键点击SIMULATION打开Simulation Settings

wKgaomS9PL6ACz9dAAC2_wa01lY349.png

Simulation部分按照上图所示进行设置即可

wKgZomS9PMeAWYdOAACiBs1BXKw505.png

在第三方仿真器处完成仿真器位置和仿真库位置设置

wKgaomS9PNCAbMAtAAC8N3ZxAfM362.png

可在此处调整仿真时间,之后点击OK完成所有设置

4、运行仿真

点击Run Simulation可以得到下图所示Modelsim仿真运行图,便可以在Modelsim中进行调整观察

wKgZomS9PNqATUAuAAF_PyMr3-Y234.png

以上便是vivado使用Modelsim进行仿真设置的配置过程,如果有帮助到你还请多多转发点赞

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132162
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
收藏 人收藏

    评论

    相关推荐

    如何用Python实现VivadoModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始
    的头像 发表于 09-13 09:23 761次阅读
    如何用Python实现<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    关于Vivadomodelsim仿真常见问题处理

    :/modeltech64_10.4”下的modelsim.ini文件夹的属性,具体的操作参照ISE和modelsim的联合仿真库编译步骤。2、 vivado里面每次修改完程序之后无需
    发表于 10-16 19:43

    使用vivado仿真仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivadomodelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado
    发表于 08-11 06:44

    vivado仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado仿真器改成modelsim,发现仿
    发表于 08-11 09:47

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的<b class='flag-5'>vivado</b><b class='flag-5'>调用</b>VCS<b class='flag-5'>仿真</b>教程

    基于vivado平台和modelsim仿真和应用测试

    很多人用zynq平台做视频图像开发,但是对vdma了解比较少,上手起来稍微有些困难,我针对这一现象,做了一个基于vivadomodelsim仿真和应用测试工程,并写篇文章做些介绍,希望能对大家有帮助。
    发表于 06-30 14:33 5411次阅读
    基于<b class='flag-5'>vivado</b>平台和<b class='flag-5'>modelsim</b>的<b class='flag-5'>仿真</b>和应用测试

    关于quartus如何调用modelsim详细解说

    本文主要详细介绍了在Quartus II 11.0中调用ModelSim-Altera 6.5e,另外还介绍了Quartus II调用modelsim无缝
    的头像 发表于 05-18 10:39 3.5w次阅读
    关于quartus如何<b class='flag-5'>调用</b><b class='flag-5'>modelsim</b>详细解说

    使用Vivado 2017调用Modelsim的详细步骤

    10.5,发现Modelsim10.5的版本也是可以使用的。笔者尝试过Modelsim10.4,发现该版本不支持。所以需要使用Vivado2017.3来调用
    发表于 03-30 09:51 1.7w次阅读
    使用<b class='flag-5'>Vivado</b> 2017<b class='flag-5'>调用</b><b class='flag-5'>Modelsim</b>的详细步骤

    详解VivadoModelsim关联方法及器件库编译

    vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——》“Options.。。”,选择“General”选项卡,将滚动条拉倒最底
    的头像 发表于 04-15 10:10 4778次阅读
    详解<b class='flag-5'>Vivado</b>与<b class='flag-5'>Modelsim</b>关联方法及器件库编译

    Vivado调用Questa Sim或ModelSim仿真小技巧

    Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案。 Vivado
    的头像 发表于 09-02 10:12 7389次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>调用</b>Questa Sim或<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>小技巧

    VivadoModelSim的联合仿真操作

    Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim
    的头像 发表于 03-11 11:32 6400次阅读

    Vivado调用Questa Sim仿真中存在的一些问题

    首先说明一下Modelsim与Questa Sim都可以与Vivado联调,也比较相似,但是Questa Sim比Modelsim功能更加广泛
    的头像 发表于 05-08 11:19 3272次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>调用</b>Questa Sim<b class='flag-5'>仿真</b>中存在的一些问题

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1890次阅读

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1714次阅读
    <b class='flag-5'>vivado</b>软件和<b class='flag-5'>modelsim</b>软件的安装方法