0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

vivado软件和modelsim软件的安装方法

CHANBAEK 来源:FPGA入门到精通 作者:木然 2023-08-07 15:48 次阅读

本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。

一、软件安装包下载

1、vivado

vivado版本很多,目前最新的已更新到vivado2022.2,版本越高,安装包越大,目前vivado2022.2已达89.4GB大小。

网盘下载:vivado 2017.4 、vivado 2019.1、vivado 2021.2

2、Modelsim

Modelsim 有几种常见的版本:SE(System Edition)、 PE(Personal Edition)和 OEM(Orignal EquipmentManufactuce,即原始设备制造商),其中 SE 是最高级的版本,而集成在 Altera、 Xilinx 以及 LatticeFPGA厂商设计工具中的均是 OEM 版本。

二、安装步骤

1、vivado安装

(1)点击安装包文件夹里的“xsetup”

图片

(2)弹出下面的提示,选择“continue”

图片

(3)点击“next”即可

图片

图片

(4)选择安装的芯片型号,对于不需要的芯片型号,可以不选,降低安装空间占用

图片

(5)选中“I agree”,点击“next”

图片

(6)选择“安装目录”,点击“next”

图片

(7)等待安装完毕,即可。

(8)license加载,打开“Manage xilinx license s”,点击“Load license”,点击“Copy License”,选择加载license文件即可

图片

2、modelsim安装

(1)点击“modesim.exe”文件

图片

(2)一直点击下一步安装即可

3、vivado中配置modesim仿真设置

(1)在Vivado中生成lib

打开Vivado软件,点击Tools-》Compile Simulation Libraries,生成库函数

图片

生成库函数设置

Simulator选择ModelSim Simulator

Language,Library,Family选择全部(All)

Compiled library location:编译库目录,可以选择在ModelSim的安装路径下,新建一个Vivado_lib的文件夹

Simulator executable path:选择ModelSim的启动路径

图片

点击Compile,即可开始生成库文件,等待编译完毕。

(2)在Vivado中添加ModelSim调用设置

Vivado --> Tools --> setting,分别设置仿真软件和仿真库

图片

(3)在ModelSim中添加Vivado仿真库

a、在vivado仿真库目录下找到modelsim.ini文件,如下图所示的库路径

图片

b、在modelsim安装路径下有一个modelsim.ini文件,取消只读属性,然后用记事本将其打开,找到“modelsim_lib = $MODEL_TECH/../modelsim_lib”处准备添加ip库路径。

图片

(4) 打开ModelSim,就会Vivado的lib全部添加到ModelSim中添加进来了。

图片

以上内容是vivado和modelsim的安装说明,希望各位读者能有所收获。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132161
  • 软件
    +关注

    关注

    67

    文章

    4348

    浏览量

    85624
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
收藏 人收藏

    评论

    相关推荐

    如何用Python实现VivadoModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
    的头像 发表于 09-13 09:23 761次阅读
    如何用Python实现<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b>仿真自动化?

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    vivado软件安装教程以及license

    vivado软件安装教程以及license
    发表于 12-21 19:50

    寻求 modelsim软件

    寻求modelsim软件10.1a的64位安装软件,急求,但是自己找不到,希望大侠们给予帮助,谢谢!
    发表于 12-04 21:48

    Questasim软件安装破解教程

    \LICENSE.TXT,确定。关闭环境变量窗口。 8. 破解确认打开questasim软件,打开后如果没有任何提示,则说明破解完成。 软件安装完成后,下一节明德扬将介绍modelsim
    发表于 05-22 17:16

    quartus软件安装

    Quartus II 12.1和Modelsim altera 10.1b安装和调用1、首先从官网下载两个软件:www.altera.com.cn 点击—>设计工具与服务—>设计软件
    发表于 05-19 14:19

    vivado 修改源代码后,modelsim重新加载波形,如何操作???

    我使用的是vivado软件modelsim联合仿真,但是每次vivado中的测试文件修改之后,我都是关闭modelsim再点击
    发表于 12-15 20:53

    关于Vivadomodelsim仿真常见问题处理

    本帖最后由 六月飞鱼 于 2018-10-16 19:58 编辑 1、vivado里面联合modelsim操作方法分如下三步:a、第一步在modelsim
    发表于 10-16 19:43

    ModelSim软件的详细使用方法是什么?

    ModelSim软件有哪些主要特点?ModelSim软件的详细使用方法是什么?
    发表于 06-21 07:35

    使用Vivado 2017调用Modelsim的详细步骤

    本次使用的Vivado版本为Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本的Vivado只支持Modelsim10.6或者更高版本,但是笔者只有
    发表于 03-30 09:51 1.7w次阅读
    使用<b class='flag-5'>Vivado</b> 2017调用<b class='flag-5'>Modelsim</b>的详细步骤

    HDL仿真软件Modelsim安装教程资料免费下载

    本文档的主要内容详细介绍的是HDL仿真软件Modelsim安装教程资料免费下载。安装教程很详细,大家一步步来安装基本都能
    发表于 05-28 08:00 7次下载
    HDL仿真<b class='flag-5'>软件</b><b class='flag-5'>Modelsim</b>的<b class='flag-5'>安装</b>教程资料免费下载

    详解VivadoModelsim关联方法及器件库编译

    vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——》“Options.。。”,选择“General”选项卡,将滚动条拉倒最底
    的头像 发表于 04-15 10:10 4778次阅读
    详解<b class='flag-5'>Vivado</b>与<b class='flag-5'>Modelsim</b>关联<b class='flag-5'>方法</b>及器件库编译

    Vivado调用Questa Sim或ModelSim仿真小技巧

    调用第三方仿真软件查看波形的过程中存在的一些问题。 1、添加新的观测信号需要重新仿真 Vivado直接调用Modelsim/QuestaSim进行仿真时,波形文件里默认只会出现仿真最顶层中包含
    的头像 发表于 09-02 10:12 7389次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim或<b class='flag-5'>ModelSim</b>仿真小技巧

    LINUX下软件安装与管理的方法

    `LINUX`下软件安装方式主要有以下`4种`: * 源码方式安装Linux软件 * RPM工具方式安装
    的头像 发表于 05-12 10:25 565次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 2001次阅读
    <b class='flag-5'>Vivado</b>调用<b class='flag-5'>Modelsim</b>仿真